05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

IO Pins Description<br />

CE Clock Enable (active High)<br />

Q[3:0] Data Output<br />

VHDL Code<br />

HDL Coding Techniques<br />

Following is the equivalent VHDL code for a 4-bit register with a<br />

positive-edge clock, asynchronous set and clock enable.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

entity flop is<br />

port(C, CE, PRE : in std_logic;<br />

D : in std_logic_vector (3 downto 0);<br />

Q : out std_logic_vector (3 downto 0));<br />

end flop;<br />

architecture archi of flop is<br />

begin<br />

process (C, PRE)<br />

begin<br />

if (PRE='1') then<br />

Q

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!