05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

XST <strong>User</strong> <strong>Guide</strong><br />

TIMING REPORT<br />

NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.<br />

FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE<br />

TRACE REPORT GENERATED AFTER PLACE-and-ROUTE.<br />

Clock Information:<br />

------------------<br />

+---------------------------------+----------------------------+--------+<br />

| Clock Signal | Clock buffer(FF name) | Load |<br />

+---------------------------------+----------------------------+--------+<br />

| clk | BUFGP | 14 |<br />

+---------------------------------+----------------------------+--------+<br />

Timing Summary:<br />

---------------<br />

Speed Grade: -6<br />

Minimum period: 8.082ns (Maximum Frequency: 123.732MHz)<br />

Minimum input arrival time before clock: 4.081ns<br />

Maximum output required time after clock: 9.497ns<br />

Maximum combinational path delay: 8.232ns<br />

Timing Detail:<br />

--------------<br />

All values displayed in nanoseconds (ns)<br />

------------------------------------------------------------------<br />

Timing constraint: Default period analysis for Clock 'clk'<br />

Delay: 8.082ns (Levels of Logic = 2)<br />

Source: sixty_lsbcount_qoutsig_0<br />

Destination: sixty_msbcount_qoutsig_2<br />

Source Clock: clk rising<br />

Destination Clock: clk rising<br />

9-10 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!