05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Verilog Reserved Keywords<br />

Verilog Language Support<br />

The following table shows the Verilog reserved keywords.<br />

Table 7-11 Verilog Reserved Keywords.<br />

always end ifnone noshowcancelled*<br />

repeat tranif1<br />

and endcase incdir* not rnmos tri<br />

assign endconfig* include* notif0 rpmos tri0<br />

automatic endfunction initial notif1 rtran tri1<br />

begin endgenerate* inout or rtranif0 triand<br />

buf endmodule input output rtranif1 trior<br />

bufif0 endprimitive instance* parameter scalared trireg<br />

bufif1 endspecify instance* pmos showcancelled*<br />

use*<br />

case endtable integer posedge signed vectored<br />

casex endtask join primitive small wait<br />

casez event large pull0 specify wand<br />

cell* for liblist* pull1 specparam weak0<br />

cmos force library* pullup strong0 weak1<br />

config* forever localparam* pulldown strong1 while<br />

deassign fork macromodule pulsestyle_ondetect*<br />

supply0 wire<br />

default function medium pulsestyle_onevent*<br />

supply1 wor<br />

defparam generate* module rcmos table xnor<br />

design* genvar* nand real task xor<br />

disable highz0 negedge realtime time<br />

edge highz1 nmos reg tran<br />

else if nor release tranif0<br />

* These keywords are reserved by Verilog, but not supported by XST.<br />

XST <strong>User</strong> <strong>Guide</strong> 7-41

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!