05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

XST <strong>User</strong> <strong>Guide</strong><br />

Examples:<br />

// synthesis attribute RLOC of u123 is R11C1.S0<br />

// synthesis attribute HUSET u1 MY_SET<br />

// synthesis attribute fsm_extract of State2 is "yes"<br />

// synthesis attribute fsm_encoding of State2 is "gray"<br />

For a full list of constraints, refer to the “Design Constraints” chapter.<br />

Language Support Tables<br />

The following tables indicate which Verilog constructs are supported<br />

in XST. Previous sections in this chapter describe these constructs and<br />

their use within XST.<br />

Note XST does not allow underscores as the first character of signal<br />

names (for example, _DATA_1).<br />

Table 7-3 Constants<br />

Integer Constants Supported<br />

Real Constants Supported<br />

Strings Constants Unsupported<br />

Table 7-4 Data Types<br />

Nets<br />

net type<br />

drive<br />

strength<br />

wire Supported<br />

tri Supported<br />

supply0,<br />

supply1<br />

Supported<br />

wand, wor,<br />

triand, trior<br />

Supported<br />

tri0, tri1, trireg Unsupported<br />

Ignored<br />

7-36 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!