05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

XST <strong>User</strong> <strong>Guide</strong><br />

Example 7-12 Structural Instantiation of Register and BUFG<br />

module foo (sysclk, in, reset,out);<br />

input sysclk, in, reset;<br />

output out;<br />

reg out;<br />

wire sysclk_out;<br />

FDC register (sysclk, reset, in, out); //position based<br />

//referencing<br />

BUFG clk (.O(sysclk_out), .I(sysclk)); //name based referencing<br />

….<br />

endmodule<br />

The unisim_comp.v library file supplied with XST, includes the<br />

definitions for FDC and BUFG.<br />

module FDC ( C, CLR, D, Q);<br />

input C;<br />

input CLR;<br />

input D;<br />

output Q;<br />

endmodule<br />

// synthesis attribute BOX_TYPE of FDC is "BLACK_BOX"<br />

module BUFG ( O, I);<br />

output O;<br />

input I;<br />

endmodule<br />

// synthesis attribute BOX_TYPE of BUFG is "BLACK_BOX"<br />

7-30 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!