05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Verilog Language Support<br />

Chapter 7<br />

This chapter contains the following sections.<br />

• “Introduction”<br />

• “Behavioral Verilog Features”<br />

• “Structural Verilog Features”<br />

• “Parameters”<br />

• “Verilog Limitations in XST”<br />

• “Verilog Meta Comments”<br />

• “Language Support Tables”<br />

• “Primitives”<br />

• “Verilog Reserved Keywords”<br />

For detailed information about Verilog design constraints and<br />

options, refer to the “Design Constraints” chapter. For information<br />

about the Verilog attribute syntax, see the “Command Line Options”<br />

section of the “Design Constraints” chapter.<br />

For information on setting Verilog options in the Process window of<br />

the Project Navigator, refer to the “General Constraints” section of the<br />

“Design Constraints” chapter.<br />

XST <strong>User</strong> <strong>Guide</strong> 7-1

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!