05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

VHDL Reserved Words<br />

The following table shows the VHDL reserved words.<br />

VHDL Language Support<br />

abs configuration impure null rem type<br />

access constant in of report unaffected<br />

after disconnect inertial on return units<br />

alias downto inout open rol until<br />

all else is or ror use<br />

and elsif label others select variable<br />

architecture end library out severity wait<br />

array entity linkage package signal when<br />

assert exit literal port shared while<br />

attribute file loop postponed sla with<br />

begin for map procedure sll xnor<br />

block function mod process sra xor<br />

body generate nand pure srl<br />

buffer generic new range subtype<br />

bus group next record then<br />

case guarded nor register to<br />

component if not reject transport<br />

XST <strong>User</strong> <strong>Guide</strong> 6-47

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!