05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Table 2-1 VHDL and Verilog Examples and Templates<br />

Arithmetic Operators Unsigned 8-bit Adder<br />

Unsigned 8-bit Adder with<br />

Carry In<br />

Unsigned 8-bit Adder with<br />

Carry Out<br />

Unsigned 8-bit Adder with<br />

Carry In and Carry Out<br />

Simple Signed 8-bit Adder<br />

Unsigned 8-bit Subtractor<br />

Unsigned 8-bit Adder/<br />

Subtractor<br />

Unsigned 8-bit Greater or<br />

Equal Comparator<br />

Unsigned 8x4-bit Multiplier<br />

Division By Constant 2<br />

Resource Sharing<br />

HDL Coding Techniques<br />

Macro Blocks Chapter Examples Language Templates<br />

N-Bit Comparator, Synchronous<br />

with Reset<br />

XST <strong>User</strong> <strong>Guide</strong> 2-11

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!