05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Sequential Process without a Sensitivity List<br />

VHDL Language Support<br />

Sequential processes without a sensitivity list must contain a "wait"<br />

statement. The "wait" statement must be the first statement of the<br />

process. The condition in the "wait" statement must be a condition on<br />

the clock signal. Several "wait" statements in the same process are<br />

accepted, but a set o f specific conditions must be respected. See the<br />

“Sequential Circuits” section for details. An asynchronous part can<br />

not be specified within processes without a sensitivity list.<br />

Example 6-16 shows the skeleton of such a process. The clock<br />

condition may be a falling or a rising edge.<br />

Example 6-16 Sequential Process Without a Sensitivity List<br />

process ...<br />

begin<br />

wait until

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!