05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

VHDL Language Support<br />

Example 6-8 N Bit Adder Described with an "if...generate" and a<br />

"for… generate" Statement<br />

entity EXAMPLE is<br />

generic ( N : INTEGER := 8);<br />

port ( A,B : in BIT_VECTOR (N downto 0);<br />

CIN : in BIT;<br />

SUM : out BIT_VECTOR (N downto 0);<br />

COUT : out BIT<br />

);<br />

end EXAMPLE;<br />

architecture ARCHI of EXAMPLE is<br />

signal C : BIT_VECTOR (N+1 downto 0);<br />

begin<br />

L1: if (N>=4 and N

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!