05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

VHDL Language Support<br />

Example 6-5 MUX Description Using Selected Signal Assignment<br />

library IEEE;<br />

use IEEE.std_logic_1164.all;<br />

entity select_bhv is<br />

generic (width: integer := 8);<br />

port (a, b, c, d: in std_logic_vector (width-1 downto 0);<br />

selector: in std_logic_vector (1 downto 0);<br />

T: out std_logic_vector (width-1 downto 0) );<br />

end select_bhv;<br />

architecture bhv of select_bhv is<br />

begin<br />

with selector select<br />

T

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!