05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Table 2-1 VHDL and Verilog Examples and Templates<br />

Shift Registers 8-bit Shift-Left Register with<br />

Positive-Edge Clock, Serial<br />

In, and Serial Out<br />

8-bit Shift-Left Register with<br />

Negative-Edge Clock, Clock<br />

Enable, Serial In, and Serial<br />

Out<br />

8-bit Shift-Left Register with<br />

Positive-Edge Clock, Asynchronous<br />

Clear, Serial In, and<br />

Serial Out<br />

8-bit Shift-Left Register with<br />

Positive-Edge Clock,<br />

Synchronous Set, Serial In,<br />

and Serial Out<br />

8-bit Shift-Left Register with<br />

Positive-Edge Clock, Serial<br />

In, and Parallel Out<br />

8-bit Shift-Left Register with<br />

Positive-Edge Clock, Asynchronous<br />

Parallel Load,<br />

Serial In, and Serial Out<br />

8-bit Shift-Left Register with<br />

Positive-Edge Clock,<br />

Synchronous Parallel Load,<br />

Serial In, and Serial Out<br />

8-bit Shift-Left/Shift-Right<br />

Register with Positive-Edge<br />

Clock, Serial In, and Parallel<br />

Out<br />

HDL Coding Techniques<br />

Macro Blocks Chapter Examples Language Templates<br />

4-bit Loadable Serial In Serial<br />

Out Shift Register<br />

4-bit Serial In Parallel out Shift<br />

Register<br />

4-bit Serial In Serial Out Shift<br />

Register<br />

XST <strong>User</strong> <strong>Guide</strong> 2-9

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!