05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

VHDL Language Support<br />

Example 6-3 4-bit shift register with Recursive Component<br />

Instantiation<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

library unisim;<br />

use unisim.vcomponents.all;<br />

entity single_stage is<br />

generic (sh_st: integer:=4);<br />

port (CLK: in std_logic;<br />

DI : in std_logic;<br />

DO : out std_logic);<br />

end entity single_stage;<br />

architecture recursive of single_stage is<br />

component single_stage<br />

generic (sh_st: integer);<br />

port (CLK: in std_logic;<br />

DI : in std_logic;<br />

DO : out std_logic);<br />

end component;<br />

signal tmp: std_logic;<br />

begin<br />

GEN_FD_LAST: if sh_st=1 generate<br />

inst_fd: FD port map (D=>DI, C=>CLK, Q=>DO);<br />

end generate;<br />

GEN_FD_INTERM: if sh_st /= 1 generate<br />

inst_fd: FD port map (D=>DI, C=>CLK, Q=>tmp);<br />

inst_sstage: single_stage generic map (sh_st<br />

=> sh_st-1) port map<br />

(DI=>tmp, CLK=>CLK, DO=>DO);<br />

end generate;<br />

end recursive;<br />

XST <strong>User</strong> <strong>Guide</strong> 6-13

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!