05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

XST <strong>User</strong> <strong>Guide</strong><br />

The synthesized top level netlist is shown in the following figure.<br />

X<br />

Y<br />

A<br />

B<br />

NANDA<br />

Y<br />

Figure 6-1 Synthesized Top Level Netlist<br />

Recursive Component Instantiation<br />

XST supports recursive component instantiation (please note that<br />

direct instantiation is not supported for recursivity). The example 6-2<br />

shows a 4-bit shift register description:<br />

6-12 <strong>Xilinx</strong> Development System<br />

S3<br />

A<br />

B<br />

A<br />

B<br />

NANDB<br />

NANDC<br />

Y<br />

Y<br />

S1<br />

S2<br />

A<br />

B<br />

NANDD<br />

Y<br />

S<br />

C<br />

x8952

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!