05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

XST <strong>User</strong> <strong>Guide</strong><br />

Example 6-1 Entity and Architecture Declaration<br />

Library IEEE;<br />

use IEEE.std_logic_1164.all;<br />

entity EXAMPLE is<br />

port (A,B,C : in std_logic;<br />

D,E : out std_logic );<br />

end EXAMPLE;<br />

architecture ARCHI of EXAMPLE is<br />

signal T : std_logic;<br />

begin<br />

...<br />

end ARCHI;<br />

Component Instantiation<br />

Structural descriptions assemble several blocks and allow the<br />

introduction of hierarchy in a design. The basic concepts of hardware<br />

structure are the component, the port and the signal. The component<br />

is the building or basic block. A port is a component I/O connector. A<br />

signal corresponds to a wire between components.<br />

In VHDL, a component is represented by a design entity. This is<br />

actually a composite consisting of an entity declaration and an<br />

architecture body. The entity declaration provides the "external" view<br />

of the component; it describes what can be seen from the outside,<br />

including the component ports. The architecture body provides an<br />

"internal" view; it describes the behavior or the structure of the<br />

component.<br />

The connections between components are specified within<br />

component instantiation statements. These statements specify an<br />

instance of a component occurring inside an architecture of another<br />

component. Each component instantiation statement is labeled with<br />

an identifier. Besides naming a component declared in a local<br />

component declaration, a component instantiation statement<br />

contains an association list (the parenthesized list following the<br />

reserved word port map) that specifies which actual signals or ports<br />

are associated with which local ports of the component declaration.<br />

Note XST supports unconstrained vectors in component declarations.<br />

6-10 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!