05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Table 5-5 Third Party Constraints<br />

Name Vendor XST Equivalent<br />

Design Constraints<br />

full_case Synplicity/<br />

Synopsys<br />

full_case Verilog<br />

ispad Synplicity NA NA<br />

map_to_module Synopsys NA NA<br />

net_name Synopsys NA NA<br />

parallel_case Synplicity<br />

Synopsys<br />

parallel_case Verilog<br />

return_port_name Synopsys NA NA<br />

resource_sharing directives Synopsys resource_sharing<br />

directives<br />

Available<br />

For<br />

VHDL/<br />

Verilog<br />

set_dont_touch_network Synopsys not required NA<br />

set_dont_touch Synopsys not required NA<br />

set_dont_use_cel_name Synopsys not required NA<br />

set_prefer Synopsys NA NA<br />

state_vector Synopsys NA NA<br />

syn_allow_retiming Synplicity register_balancing VHDL/<br />

Verilog<br />

syn_black_box Synplicity box_type VHDL/<br />

Verilog<br />

syn_direct_enable Synplicity NA NA<br />

syn_edif_bit_format Synplicity NA NA<br />

syn_edif_scalar_format Synplicity NA NA<br />

syn_encoding Synplicity fsm_encoding VHDL/<br />

Verilog<br />

syn_enum_encoding Synplicity enum_encoding VHDL<br />

syn_hier Synplicity keep_hierarchy VHDL/<br />

Verilog<br />

syn_isclock Synplicity NA NA<br />

syn_keep Synplicity keep* VHDL/<br />

Verilog<br />

XST <strong>User</strong> <strong>Guide</strong> 5-51

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!