05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

XST <strong>User</strong> <strong>Guide</strong><br />

Examples<br />

To apply a constraint to specific instances, nets, or pins within an<br />

entity, use one of the two following syntaxes:<br />

BEGIN MODEL EntityName<br />

{NET|INST|PIN}{NetName|InstName|SigName}<br />

PropertyName;<br />

END;<br />

BEGIN MODEL EntityName<br />

{NET|INST|PIN}{NetName|InstName|SigName}<br />

PropertyName=Propertyvalue;<br />

END;<br />

When written in VHDL code, they should be specified as follows:<br />

attribute PropertyName of<br />

{NetName|InstName|PinName} : {signal|label} is<br />

"PropertyValue";<br />

In a Verilog description, they should be written as follows:<br />

// synthesis attribute PropertyName [of]<br />

{NetName|InstName|PinName} [is] "PropertyValue";<br />

Following are three examples.<br />

Example 1<br />

When targeting an FPGA device, the RLOC constraint can be used to<br />

indicate the placement of a design element on the FPGA die relative<br />

to other elements. Assuming a SRL16 instance of name srl1 to be<br />

placed at location R9C0.S0, you may specify the following in your<br />

Verilog code:<br />

// synthesis attribute RLOC of srl1 : "R9C0.S0";<br />

You may specify the same attribute in the XCF file with the following<br />

lines:<br />

BEGIN MODEL ENTNAME<br />

INST sr11 RLOC=R9C0.SO;<br />

END;<br />

5-48 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!