05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

PCI Flow<br />

FPGA Optimization<br />

To successfully use PCI flow with XST (i.e. to satisfy all placement<br />

constraints and meet timing requirements) set the following options.<br />

• For VHDL designs, ensure that the names in the generated netlist<br />

are all in uppercase. Please note that by default, the case for<br />

VHDL synthesis flow is lower. Specify the case by selecting the<br />

Case option under the <strong>Synthesis</strong> Options tab in the Process<br />

Properties dialog box within the Project Navigator.<br />

• For Verilog designs, ensure that the case is set to maintain, which<br />

is a default value. Specify the case as described above.<br />

• Preserve the hierarchy of the design. Specify the Keep Hierarchy<br />

setting can by selecting the Keep Hierarchy option under the<br />

<strong>Synthesis</strong> Options tab in the Process Properties dialog box within<br />

the Project Navigator.<br />

• Preserve equivalent flip-flops, which XST removes by default.<br />

Specify the Equivalent Register Removal setting can by selecting<br />

the Equivalent Register Removal option under the <strong>Xilinx</strong> Specific<br />

Options tab in the Process Properties dialog box within the<br />

Project Navigator.<br />

• Prevent logic and flip-flop replication caused by high fanout flipflop<br />

set/reset signals. Do this by:<br />

♦ Setting a high maximum fanout value for the entire design<br />

via the Max Fanout menu in XST <strong>Synthesis</strong> Options<br />

or<br />

♦ Setting a high maximum fanout value for the initialization<br />

signal connected to the RST port of PCI core by using the<br />

max_fanout attribute (ex. max_fanout=2048).<br />

• Prevent XST from automatically reading PCI cores for timing and<br />

area estimation. In reading PCI cores, XST may perform some<br />

logic optimization in the user’s part of the design that will not<br />

allow the design to meet timing requirements or might even lead<br />

to errors during MAP. Disable Read Cores by unchecking the<br />

Read Cores option under the <strong>Synthesis</strong> Options tab in the Process<br />

Properties dialog box within the Project Navigator.<br />

Note By default XST reads cores for timing and area estimation.<br />

XST <strong>User</strong> <strong>Guide</strong> 3-37

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!