05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

XST <strong>User</strong> <strong>Guide</strong><br />

Moreover, to infer a register in the previous example, and place it in a<br />

specific location of a chip, attach an RLOC constraint to the "tmp"<br />

signal as in the following VHDL example. XST will propagate it to the<br />

final netlist. Please note that this feature is supported for registers<br />

only, but not for inferred RAMs.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

entity test is<br />

port ( CLK : in std_logic;<br />

DI : in std_logic_vector(3 downto 0);<br />

DO : out std_logic_vector(3 downto 0)<br />

);<br />

end test;<br />

architecture beh of test is<br />

signal tmp: std_logic_vector(3 downto<br />

0):="1011";<br />

attribute RLOC: string;<br />

attribute RLOC of tmp: signal is "X3Y0 X2Y0 X1Y0<br />

X0Y0";<br />

begin<br />

process (CLK)<br />

begin<br />

if (clk'event and clk='1') then<br />

tmp

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!