05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

XST <strong>User</strong> <strong>Guide</strong><br />

REZ : out std_logic);<br />

attribute LUT_MAP: string;<br />

attribute LUT_MAP of my_or: entity is "yes";<br />

end my_or;<br />

architecture beh of my_or is<br />

begin<br />

REZ A, B=>B,<br />

REZ=>tmp);<br />

inst_or: my_or port map (A=>tmp, B=>C,<br />

REZ=>REZ);<br />

end beh;<br />

If a function cannot be mapped on a single LUT, XST will issue an<br />

Error and interrupt the synthesis process. If you would like to define<br />

an INIT value for a flip-flop, described at RTL level, you can assign its<br />

initial value in the signal declaration stage. This value will not be<br />

ignored during synthesis and will be propagated to the final netlist as<br />

an INIT constraint attached to the flip-flop. This feature is supported<br />

3-34 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!