05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

XST <strong>User</strong> <strong>Guide</strong><br />

architecture beh of read_cores is<br />

component my_add<br />

port (A, B : in std_logic_vector (7 downto 0);<br />

S : out std_logic_vector (7 downto 0));<br />

end component;<br />

begin<br />

res A, B=>B, S=>SUM);<br />

end beh;<br />

If the "Read Cores" is disabled, XST will estimate Maximum<br />

Combinational Path Delay as 6.639ns (critical path goes through<br />

through a simple AND function) and an area of one slice.<br />

If "Read Cores" is enabled then XST will display the following<br />

messages during low level synthesis.<br />

...<br />

========================================================================<br />

*<br />

* Low Level <strong>Synthesis</strong><br />

*<br />

========================================================================<br />

Launcher: Executing edif2ngd -noa "my_add.edn" "my_add.ngo"<br />

INFO:NgdBuild - Release 5.1i - edif2ngd F.21<br />

INFO:NgdBuild - Copyright (c) 1995-2002 <strong>Xilinx</strong>, Inc. All rights reserved.<br />

Writing the design to "my_add.ngo"...<br />

Loading core for timing and area information for instance .<br />

========================================================================<br />

...<br />

Estimation of Maximum Combinational Path Delay will be 8.281ns<br />

with an area of five slices. Please note that XST will read EDIF/NGC<br />

cores only if they are placed in the current (project) directory.<br />

3-32 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!