05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Verilog<br />

Following is the Verilog code.<br />

`include "c:\xst\verilog\src\ISE\unisim_comp.v"<br />

module black_b (DI_1, DI_2, SI, DOUT);<br />

input DI_1, DI_2, SI;<br />

output DOUT;<br />

MUXF5 inst (.I0(DI_1), .I1(DI_2), .S(SI), .O(DOUT));<br />

endmodule<br />

Related Constraints<br />

Cores Processing<br />

FPGA Optimization<br />

Related constraints are BOX_TYPE and different PAR constraints that<br />

can be passed from HDL to NGC without processing.<br />

If a design contains cores, represented by an EDIF or an NGC file,<br />

XST is able to automatically read them for timing estimation and area<br />

utilization control. The Read Cores menu from the XST <strong>Synthesis</strong><br />

Options in the Process Properties dialog box in Project Navigator<br />

allows you to enable of disable this feature. By default, XST reads<br />

cores. In the following VHDL example, the block "my_add" is an<br />

adder, which is represented as a black box in the design whose netlist<br />

was generated by CoreGen.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

use ieee.std_logic_signed.all;<br />

entity read_cores is<br />

port ( A, B : in std_logic_vector (7 downto 0);<br />

a1, b1: in std_logic;<br />

SUM : out std_logic_vector (7 downto 0);<br />

res : out std_logic);<br />

end read_cores;<br />

XST <strong>User</strong> <strong>Guide</strong> 3-31

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!