05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Instantiation of MUXF5<br />

FPGA Optimization<br />

In this example, the component is directly declared in the HDL<br />

design file.<br />

VHDL<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

Following is the VHDL code for instantiation of MUXF5.<br />

entity black_b is<br />

port(DI_1, DI_2, SI : in std_logic;<br />

DOUT : out std_logic);<br />

end black_b;<br />

architecture archi of black_b is<br />

component MUXF5<br />

port (<br />

0 : out STD_ULOGIC;<br />

IO : in STD_ULOGIC;<br />

I1 : in STD_ULOGIC;<br />

S : in STD_ULOGIC);<br />

end component;<br />

attribute BOX_TYPE: string;<br />

attribute BOX_TYPE of MUXF5: component is "BLACK_BOX";<br />

begin<br />

inst: MUXF5 port map (I0=>DI_1, I1=>DI_2, S=>SI, O=>DOUT);<br />

end archi;<br />

XST <strong>User</strong> <strong>Guide</strong> 3-29

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!