05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

FPGA Optimization<br />

If the box_type attribute<br />

• is attached to the MUXF5, XST will try to interpret this module as<br />

a Virtex Primitive. If it is<br />

♦ true, XST will use its parameters, for instance, in critical path<br />

estimation.<br />

♦ false, XST will process it as a regular black box.<br />

• is not attached to the MUXF5. Then XST will process this block as<br />

a Black Box.<br />

In order to simplify the instantiation process, XST comes with VHDL<br />

and Verilog Virtex libraries. These libraries contain the complete set<br />

of Virtex Primitives declarations with a box_type constraint<br />

attached to each component. If you use<br />

• VHDL, then you must declare library "unisim" with its package<br />

"vcomponents" in your source code.<br />

library unisim;<br />

use unisim.vcomponents.all;<br />

The source code of this package can be found in the<br />

"vhdl\src\unisims_vcomp.vhd" file of the XST installation.<br />

• Verilog, then you must include a library file "unisim_comp.v" in<br />

your source code. This file can be found in the "verilog\src\ISE"<br />

directory of the XST installation.<br />

`include "c:\\verilog\src\ISE\unisim_comp.v"<br />

Note If you are using the ISE environment for your Verilog<br />

project, the above is done automatically for you.<br />

Some primitives, like LUT1, allow you to use INIT during<br />

instantiation. In the VHDL case, it is implemented via generic code.<br />

XST <strong>User</strong> <strong>Guide</strong> 3-27

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!