05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

XST <strong>User</strong> <strong>Guide</strong><br />

Gate Net<br />

Cell:in->out fanout Delay Delay Logical Name<br />

---------------------------------------- ------------<br />

FDC:C->Q 15 1.372 2.970 I_state_2<br />

begin scope: 'block1'<br />

LUT3:I1->O 1 0.738 1.265 LUT_54<br />

end scope: 'block1'<br />

LUT3:I0->O 1 0.738 0.000 I_next_state_2<br />

FDC:D 0.440 I_state_2<br />

----------------------------------------<br />

Total 7.523ns<br />

Timing Summary<br />

The Timing Summary section gives a summary of the timing paths<br />

for all 4 domains:<br />

The path from any clock to any clock in the design:<br />

Minimum period: 7.523ns (Maximum Frequency:<br />

132.926MHz)<br />

The maximum path from all primary inputs to the sequential<br />

elements:<br />

Minimum input arrival time before clock: 8.945ns<br />

The maximum path from the sequential elements to all primary<br />

outputs:<br />

Maximum output required time before clock: 14.220ns<br />

The maximum path from inputs to outputs:<br />

Maximum combinational path delay: 10.899ns<br />

If there is no path in the domain concerned "No path found" is then<br />

printed instead of the value.<br />

Timing Detail<br />

The Timing Detail section describes the most critical path in detail for<br />

each region:<br />

3-24 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!