05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

FPGA Optimization<br />

NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.<br />

FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT<br />

GENERATED AFTER PLACE-and-ROUTE.<br />

Clock Information:<br />

------------------<br />

-----------------------------------+------------------------+-------+<br />

Clock Signal | Clock buffer(FF name) | Load |<br />

-----------------------------------+------------------------+-------+<br />

clk | BUFGP | 9 |<br />

-----------------------------------+------------------------+-------+<br />

Timing Summary:<br />

---------------<br />

Speed Grade: -6<br />

Minimum period: 7.523ns (Maximum Frequency: 132.926MHz)<br />

Minimum input arrival time before clock: 8.945ns<br />

Maximum output required time after clock: 14.220ns<br />

Maximum combinational path delay: 10.889ns<br />

Timing Detail:<br />

--------------<br />

All values displayed in nanoseconds (ns)<br />

-------------------------------------------------------------------------<br />

Timing constraint: Default period analysis for Clock 'clk'<br />

Delay: 7.523ns (Levels of Logic = 2)<br />

Source: sdstate_FFD1<br />

Destination: sdstate_FFD2<br />

Source Clock: clk rising<br />

Destination Clock: clk rising<br />

Data Path: sdstate_FFD1 to sdstate_FFD2<br />

Gate Net<br />

Cell:in->out fanout Delay Delay Logical Name (Net Name)<br />

---------------------------------------- ------------<br />

FDC:C->Q 15 1.372 2.970 state_FFD1 (state_FFD1)<br />

LUT3:I1->O 1 0.738 1.265 LUT_54 (N39)<br />

LUT3:I1->O 1 0.738 0.000 I_next_state_2 (N39)<br />

FDC:D 0.440 state_FFD2<br />

----------------------------------------<br />

Total 7.523ns (3.288ns logic, 4.235ns route)<br />

(43.7% logic, 56.3% route)<br />

XST <strong>User</strong> <strong>Guide</strong> 3-23

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!