05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

XST <strong>User</strong> <strong>Guide</strong><br />

VHDL<br />

Following is the VHDL code for a black box.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

entity black_b is<br />

port(DI_1, DI_2 : in std_logic;<br />

DOUT : out std_logic);<br />

end black_b;<br />

architecture archi of black_b is<br />

component my_block<br />

port (<br />

I1 : in std_logic;<br />

I2 : in std_logic;<br />

O : out std_logic);<br />

end component;<br />

begin<br />

inst: my_block port map (<br />

I1=>DI_1,<br />

I2=>DI_2,<br />

O=>DOUT);<br />

end archi;<br />

2-188 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!