05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Introduction<br />

Architecture Support<br />

XST Flow<br />

This chapter contains the following sections.<br />

• “Architecture Support”<br />

• “XST Flow”<br />

Chapter 1<br />

The software supports the following architecture families in this<br />

release.<br />

• Virtex/-E/-II/-II Pro<br />

• Spartan-II • CoolRunner XPLA3/-II<br />

• XC9500 /XL/XV<br />

XST is a <strong>Xilinx</strong> tool that synthesizes HDL designs to create <strong>Xilinx</strong><br />

specific netlist files called NGC files. The NGC file is a netlist that<br />

contains both logical design data and constraints that takes the place<br />

of both EDIF and NCF files. This manual describes XST support for<br />

<strong>Xilinx</strong> devices, HDL languages, and design constraints. The manual<br />

also explains how to use various design optimization and coding<br />

techniques when creating designs for use with XST.<br />

Before you synthesize your design, you can set a variety of options<br />

for XST. The following are the instructions to set the options and run<br />

XST from Project Navigator. All of these options can also be set from<br />

the command line. See the “Design Constraints” chapter, and the<br />

“Command Line Mode” chapter for details.<br />

XST <strong>User</strong> <strong>Guide</strong> 1-1

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!