05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Log File<br />

HDL Coding Techniques<br />

The XST log file reports the type and size of recognized RAM as well<br />

as complete information on its I/O ports during the macro recognition<br />

step.<br />

...<br />

Synthesizing Unit .<br />

Related source file is rams_1.vhd.<br />

Found 128-bit single-port distributed RAM for signal .<br />

----------------------------------------------------------<br />

| aspect ratio | 32-word x 4-bit | |<br />

| clock | connected to signal | rise |<br />

| write enable | connected to signal | high |<br />

| address | connected to signal | |<br />

| data in | connected to signal | |<br />

| data out | connected to signal | |<br />

| ram_style | Auto | |<br />

---------------------------------------------------------<br />

INFO:Xst - For optimized device usage and improved timings, you<br />

may take advantage of available block RAM resources by<br />

registering the read address.<br />

Summary:<br />

inferred 1 RAM(s).<br />

Unit synthesized.<br />

====================================<br />

HDL <strong>Synthesis</strong> Report<br />

Macro Statistics<br />

# RAMs : 1<br />

128-bit single-port distributed RAM : 1<br />

===================================<br />

...<br />

Related Constraints<br />

Related constraints are ram_extract and ram_style.<br />

XST <strong>User</strong> <strong>Guide</strong> 2-131

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!