05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Contents<br />

Verilog Flow: ......................................................................3-13<br />

Speed Optimization Under Area Constraint. .................................3-17<br />

Log File Analysis ...........................................................................3-19<br />

Design Optimization .................................................................3-19<br />

Resource Usage ......................................................................3-20<br />

Device Utilization summary ......................................................3-22<br />

Clock Information .....................................................................3-22<br />

Timing Report ..........................................................................3-22<br />

Timing Summary .................................................................3-24<br />

Timing Detail .......................................................................3-24<br />

Implementation Constraints ..........................................................3-25<br />

Virtex Primitive Support .................................................................3-26<br />

VHDL .......................................................................................3-28<br />

Verilog ......................................................................................3-28<br />

Log File ....................................................................................3-28<br />

Instantiation of MUXF5 ............................................................3-29<br />

VHDL ..................................................................................3-29<br />

Verilog .................................................................................3-30<br />

Instantiation of MUXF5 with XST Virtex Libraries ....................3-30<br />

VHDL ..................................................................................3-30<br />

Verilog .................................................................................3-31<br />

Related Constraints .................................................................3-31<br />

Cores Processing ..........................................................................3-31<br />

Specifying INITs and RLOCs in HDL Code ...................................3-33<br />

PCI Flow ........................................................................................3-37<br />

Chapter 4 CPLD Optimization<br />

CPLD <strong>Synthesis</strong> Options ...............................................................4-1<br />

Introduction ..............................................................................4-1<br />

Global CPLD <strong>Synthesis</strong> Options ..............................................4-2<br />

Families ..............................................................................4-2<br />

List of Options .....................................................................4-2<br />

Implementation Details for Macro Generation ...............................4-3<br />

Log File Analysis ...........................................................................4-4<br />

Constraints ....................................................................................4-6<br />

Improving Results .........................................................................4-6<br />

How to Obtain Better Frequency? ............................................4-7<br />

How to Fit a Large Design? .....................................................4-8<br />

Chapter 5 Design Constraints<br />

Introduction ...................................................................................5-2<br />

Setting Global Constraints and Options ........................................5-2<br />

<strong>Synthesis</strong> Options ....................................................................5-3<br />

HDL Options ............................................................................5-6<br />

<strong>Xilinx</strong> Specific Options .............................................................5-8<br />

Command Line Options ...........................................................5-9<br />

VHDL Attribute Syntax ..................................................................5-10<br />

Verilog Meta Comment Syntax .....................................................5-10<br />

XST Constraint File (XCF) ............................................................5-11<br />

XST <strong>User</strong> <strong>Guide</strong> xv

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!