05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

XST <strong>User</strong> <strong>Guide</strong><br />

Read/Write Modes For Virtex-II RAM<br />

Block RAM resources available in Virtex-II and Virtex-II Pro offer<br />

different read/write synchronization modes. This section provides<br />

coding examples for all three modes that are available: write-first,<br />

read-first, and no-change.<br />

The following examples describe a simple single-port block RAM.<br />

You can deduce descriptions of dual-port block RAMs from these<br />

examples. Dual-port block RAMs can be configured with a different<br />

read/write mode on each port. Inference will support this capability.<br />

The following table summarizes support for read/write modes<br />

according to the targeted family and how XST will handle it.<br />

Family<br />

Read-First Mode<br />

Inferred<br />

Modes<br />

The following templates show a single-port RAM in read-first mode.<br />

VHDL<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

use ieee.std_logic_unsigned.all;<br />

Behavior<br />

Virtex-II, write-first, • Macro inference and generation<br />

Virtex-II Pro read-first,<br />

no-change<br />

• Attach adequate<br />

WRITE_MODE,<br />

WRITE_MODE_A,<br />

WRITE_MODE_B constraints to<br />

generated block RAMs in NCF<br />

Virtex, write-first • Macro inference and generation<br />

Virtex-E,<br />

Spartan-II<br />

Spartan-IIE<br />

• No constraint to attach on<br />

generated block RAMs<br />

CPLD none RAM inference completely disabled<br />

2-122 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!