05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

XST <strong>User</strong> <strong>Guide</strong><br />

case your division does not correspond to the case supported by XST,<br />

the following error message displays:<br />

...<br />

ERROR:Xst:719 - file1.vhd (Line 172).<br />

Operator is not supported yet : 'DIVIDE'<br />

...<br />

Division By Constant 2<br />

This section contains VHDL and Verilog descriptions of a Division By<br />

Constant 2 divider.<br />

The following table shows pin descriptions for a Division By<br />

Constant 2 divider.<br />

IO pins Description<br />

DI[7:0] DIV Operands<br />

DO[7:0] DIV Result<br />

VHDL<br />

Following is the VHDL code for a Division By Constant 2 divider.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

use ieee.numeric_std.all;<br />

entity divider is<br />

port(DI : in unsigned(7 downto 0);<br />

DO : out unsigned(7 downto 0));<br />

end divider;<br />

architecture archi of divider is<br />

begin<br />

DO

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!