05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Dividers<br />

HDL Coding Techniques<br />

VHDL<br />

Following is the VHDL code for an unsigned 8x4-bit multiplier.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

use ieee.std_logic_unsigned.all;<br />

entity mult is<br />

port(A : in std_logic_vector(7 downto 0);<br />

B : in std_logic_vector(3 downto 0);<br />

RES : out std_logic_vector(11 downto 0));<br />

end mult;<br />

architecture archi of mult is<br />

begin<br />

RES

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!