05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

XST <strong>User</strong> <strong>Guide</strong><br />

Log File<br />

The XST log file reports the type and size of recognized multipliers<br />

during the macro recognition step.<br />

...<br />

Synthesizing Unit .<br />

Related source file is multipliers_1.vhd.<br />

Found 8x4-bit multiplier for signal .<br />

Summary:<br />

inferred 1 Multiplier(s).<br />

Unit synthesized.<br />

==============================<br />

HDL <strong>Synthesis</strong> Report<br />

Macro Statistics<br />

# Multipliers : 1<br />

8x4-bit multiplier : 1<br />

==============================<br />

...<br />

Unsigned 8x4-bit Multiplier<br />

This section contains VHDL and Verilog descriptions of an unsigned<br />

8x4-bit multiplier.<br />

The following table shows pin descriptions for an unsigned 8x4-bit<br />

multiplier.<br />

IO pins Description<br />

A[7:0], B[3:0] MULT Operands<br />

RES[7:0] MULT Result<br />

2-114 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!