05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

HDL Coding Techniques<br />

this, XST can generate larger multipliers using multiple 18x18 bit<br />

block multipliers.<br />

Registered Multiplier<br />

For Virtex-II and Virtex-II Pro, in instances where a multiplier would<br />

have a registered output, XST will infer a unique registered<br />

multiplier. This registered multiplier will be 18x18 bits.<br />

Under the following conditions, a registered multiplier will not be<br />

used, and a multiplier + register will be used instead.<br />

• Output from the multiplier goes to any component other than the<br />

register<br />

• The mult_style register is set to lut.<br />

• The multiplier is asynchronous.<br />

• The multiplier has control signals other than synchronous reset<br />

or clock enable.<br />

• The multiplier does not fit in a single 18x18 bit block multiplier.<br />

The following pins are optional for the registered multiplier.<br />

• clock enable port<br />

• synchronous and asynchronous reset, reset, and load ports<br />

XST <strong>User</strong> <strong>Guide</strong> 2-113

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!