05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Comparators (=, /=,=)<br />

HDL Coding Techniques<br />

This section contains a VHDL and Verilog description for an<br />

unsigned 8-bit greater or equal comparator.<br />

Log File<br />

The XST log file reports the type and size of recognized comparators<br />

during the macro recognition step.<br />

...<br />

Synthesizing Unit .<br />

Related source file is comparators_1.vhd.<br />

Found 8-bit comparator greatequal for signal created at<br />

line 10.<br />

Summary:<br />

inferred 1 Comparator(s).<br />

Unit synthesized.<br />

=============================<br />

HDL <strong>Synthesis</strong> Report<br />

Macro Statistics<br />

# Comparators : 1<br />

8-bit comparator greatequal : 1<br />

==============================<br />

...<br />

Unsigned 8-bit Greater or Equal Comparator<br />

The following table shows pin descriptions for a comparator.<br />

IO pins Description<br />

A[7:0], B[7:0] Add/Sub Operands<br />

CMP Comparison Result<br />

XST <strong>User</strong> <strong>Guide</strong> 2-111

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!