05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Unsigned 8-bit Adder/Subtractor<br />

HDL Coding Techniques<br />

The following table shows pin descriptions for an unsigned 8-bit<br />

adder/subtractor.<br />

IO pins Description<br />

A[7:0], B[7:0] Add/Sub Operands<br />

OPER Add/Sub Select<br />

SUM[7:0] Add/Sub Result<br />

VHDL<br />

Following is the VHDL code for an unsigned 8-bit adder/subtractor.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

use ieee.std_logic_unsigned.all;<br />

entity addsub is<br />

port(A,B : in std_logic_vector(7 downto 0);<br />

OPER: in std_logic;<br />

RES : out std_logic_vector(7 downto 0));<br />

end addsub;<br />

architecture archi of addsub is<br />

begin<br />

RES

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!