05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

XST <strong>User</strong> <strong>Guide</strong><br />

VHDL<br />

Following is the VHDL code for an unsigned 8-bit adder with carry in<br />

and carry out.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

use ieee.std_logic_arith.all;<br />

use ieee.std_logic_unsigned.all;<br />

entity adder is<br />

port(A,B : in std_logic_vector(7 downto 0);<br />

CI : in std_logic;<br />

SUM : out std_logic_vector(7 downto 0);<br />

CO : out std_logic);<br />

end adder;<br />

architecture archi of adder is<br />

signal tmp: std_logic_vector(8 downto 0);<br />

begin<br />

tmp

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!