05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

XST <strong>User</strong> <strong>Guide</strong><br />

Please refer to the “Signed/Unsigned Support” section of this chapter<br />

for more information on the signed/unsigned operations support in<br />

VHDL.<br />

Moreover, XST performs resource sharing for adders, subtractors,<br />

adders/subtractors and multipliers.<br />

Adders, Subtractors, Adders/Subtractors<br />

This section provides HDL examples of adders and subtractors.<br />

Log File<br />

The XST log file reports the type and size of recognized adder,<br />

subtractor, and adder/subtractor during the macro recognition step.<br />

..<br />

Synthesizing Unit .<br />

Related source file is arithmetic_operations_1.vhd.<br />

Found 8-bit adder for signal .<br />

Summary:<br />

inferred 1 Adder/Subtracter(s).<br />

Unit synthesized.<br />

=============================<br />

HDL <strong>Synthesis</strong> Report<br />

Macro Statistics<br />

# Adders/Subtractors : 1<br />

8-bit adder : 1<br />

==============================<br />

2-100 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!