05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

VHDL<br />

Following is the VHDL code.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

use ieee.numeric_std.all;<br />

entity lshift is<br />

port(DI : in unsigned(7 downto 0);<br />

SEL : in unsigned(1 downto 0);<br />

SO : out unsigned(7 downto 0));<br />

end lshift;<br />

architecture archi of lshift is<br />

begin<br />

with SEL select<br />

SO

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!