05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

XST <strong>User</strong> <strong>Guide</strong><br />

Log File<br />

• For VHDL, you can use only predefined shift (sll, srl, rol, etc.) or<br />

concatenation operations. Please refer to the IEEE VHDL<br />

language reference manual for more information on predefined<br />

shift operations.<br />

• Use only one type of shift operation.<br />

• The n value in shift operation must be incremented or<br />

decremented only by 1 for each consequent binary value of the<br />

selector.<br />

• The n value can be only positive.<br />

• All values of the selector must be presented.<br />

The XST log file reports the type and size of a recognized logical<br />

shifter during the macro recognition step.<br />

...<br />

Synthesizing Unit .<br />

Related source file is Logical_Shifters_1.vhd.<br />

Found 8-bit shifter logical left for signal .<br />

Summary:<br />

inferred 1 Combinational logic shifter(s).<br />

Unit synthesized.<br />

...<br />

==============================<br />

HDL <strong>Synthesis</strong> Report<br />

Macro Statistics<br />

# Logic shifters : 1<br />

8-bit shifter logical left : 1<br />

==============================<br />

...<br />

Related Constraints<br />

A related constraint is shift_extract.<br />

2-94 <strong>Xilinx</strong> Development System

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!