05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

XST <strong>User</strong> <strong>Guide</strong><br />

VHDL<br />

Following is the VHDL code for a 3-bit 1-of-9 Priority Encoder.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

entity priority is<br />

port ( sel : in std_logic_vector (7 downto 0);<br />

code :out std_logic_vector (2 downto 0));<br />

end priority;<br />

architecture archi of priority is<br />

begin<br />

code

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!