05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Priority Encoders<br />

Log File<br />

HDL Coding Techniques<br />

XST is able to recognize a priority encoder, but in most cases XST will<br />

not infer it. To force priority encoder inference, use the<br />

priority_extract constraint with the value force. <strong>Xilinx</strong><br />

strongly suggests that you use this constraint on the signal-by-signal<br />

basis; otherwise, the constraint may guide you towards sub-optimal<br />

results.<br />

The XST log file reports the type and size of recognized priority<br />

encoders during the macro recognition step.<br />

...<br />

Synthesizing Unit .<br />

Related source file is priority_encoders_1.vhd.<br />

Found 3-bit 1-of-9 priority encoder for signal .<br />

Summary:<br />

inferred 3 Priority encoder(s).<br />

Unit synthesized.<br />

==============================<br />

HDL <strong>Synthesis</strong> Report<br />

Macro Statistics<br />

# Priority Encoders : 1<br />

3-bit 1-of-9 priority encoder : 1<br />

==============================<br />

...<br />

3-Bit 1-of-9 Priority Encoder<br />

Note For this example XST may infer a priority encoder. You must<br />

use the priority_extract constraint with a value force to force<br />

its inference.<br />

Related Constraint<br />

A related constraint is priority_extract.<br />

XST <strong>User</strong> <strong>Guide</strong> 2-91

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!