05.07.2013 Views

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

Xilinx Synthesis Technology User Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

VHDL<br />

Following is the VHDL code.<br />

library ieee;<br />

use ieee.std_logic_1164.all;<br />

HDL Coding Techniques<br />

entity dec is<br />

port (sel: in std_logic_vector (2 downto 0);<br />

res: out std_logic_vector (7 downto 0));<br />

end dec;<br />

architecture archi of dec is<br />

begin<br />

res

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!