02.07.2013 Views

show voice port - Tecnológico de Costa Rica

show voice port - Tecnológico de Costa Rica

show voice port - Tecnológico de Costa Rica

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

timing interdigit<br />

To specify the DTMF interdigit duration for a specified <strong>voice</strong> <strong>port</strong>, use the timing interdigit<br />

<strong>voice</strong>-<strong>port</strong> configuration command. Use the no form of this command to reset the <strong>de</strong>fault value.<br />

timing interdigit milliseconds<br />

no timing interdigit milliseconds<br />

Syntax Description<br />

milliseconds DTMF interdigit duration, in milliseconds. Valid entries are numbers<br />

from 50 to 500. Sup<strong>port</strong>ed on FXO, FXS and E&M <strong>port</strong>s.<br />

Default<br />

100 milliseconds<br />

Command Mo<strong>de</strong><br />

Voice-<strong>port</strong> configuration<br />

Usage Gui<strong>de</strong>lines<br />

This command first appeared in Cisco IOS Release 11.3(1)T.<br />

The call signal direction for the timing interdigit command is out.<br />

Examples<br />

The following example configures the DTMF interdigit duration on a Cisco 3600 series <strong>voice</strong> <strong>port</strong><br />

to 150 milliseconds:<br />

<strong>voice</strong>-<strong>port</strong> 1/0/0<br />

timing interdigit 150<br />

Related Commands<br />

You can use the master in<strong>de</strong>xes or search online to find documentation of related commands.<br />

timeouts initial<br />

timeouts interdigit<br />

timing clear-wait<br />

timing <strong>de</strong>lay-duration<br />

timing <strong>de</strong>lay-start<br />

timing dial-pulse min-<strong>de</strong>lay<br />

timing digit<br />

timing pulse<br />

timing pulse-interdigit<br />

timing wink-duration<br />

timing wink-wait<br />

timing interdigit<br />

Voice Over IP for the Cisco 3600 Series Commands 4-77

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!