03.06.2013 Views

ASML/Micronic Technology Developments - Sematech

ASML/Micronic Technology Developments - Sematech

ASML/Micronic Technology Developments - Sematech

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Optical Maskless Lithography (OML)<br />

Project Status<br />

Timothy O’Neil, Arno Bleeker, Kars Troost<br />

/ Slide 1<br />

SEMATECH ML2 Conference<br />

January 2005


Agenda<br />

Introduction and Principles of Operation<br />

DARPA Program Activities<br />

w Contrast Device Test Stands<br />

w Systems Engineering<br />

w Modeling Results<br />

<strong>Micronic</strong> SIGMA 7300 results<br />

Summary and Conclusions<br />

/ Slide 2


Project Status within <strong>ASML</strong><br />

<strong>ASML</strong> views OML as natural extension of the optical<br />

lithography roadmap, especially for low wafer/mask situations<br />

Throughout 2004, technical and commercial studies have<br />

been performed<br />

w Technical<br />

• SLM contrast device<br />

Projection and illumination optics<br />

Datapath<br />

w Commercial<br />

Customer applications<br />

Product positioning and roadmap<br />

/ Slide 3


Advantages of OML<br />

Fab transparency (e.g. same resist platform as mask-based)<br />

Advances in conventional mask-based lithography are readily<br />

extendable to OML<br />

w Wavelength reduction<br />

w Immersion<br />

w OPC<br />

w Strong phase-shifting<br />

Maskless lithography provides<br />

w Reduced cost of introduction and faster time-to-market for new designs<br />

w Reduced cost of manufacturing of low-volume designs<br />

Leverages TWINSCAN® platform and optics expertise at<br />

customer and within <strong>ASML</strong><br />

/ Slide 4


OML: Projected Key Specifications<br />

<strong>Technology</strong> node: 65/45nm half pitch<br />

Wavelength: 193 nm<br />

Illumination: Conventional, Annular, Dipole,<br />

Quasar, .....<br />

Throughput: 5 wph (300mm)<br />

/ Slide 5<br />

Optical<br />

Maskless<br />

Scanner<br />

TWIN<br />

SCAN<br />

XT<br />

MASK<br />

LESS


Optical Maskless Lithography<br />

System Overview<br />

Concept<br />

w Illumination light is reflected from a<br />

dynamic pattern generating device<br />

(Spatial Light Modulator, or SLM)<br />

w SLM contains a section of a desired<br />

circuit pattern<br />

w Pattern is imaged onto a substrate<br />

through a high de-magnification<br />

projection lens<br />

First <strong>Technology</strong> Use: <strong>Micronic</strong><br />

w Sigma 7300 photomask writing<br />

system (results reported in this<br />

meeting)<br />

w One SLM (16 µm mirrors, 1 MPixel)<br />

(1): Sandström, et al. <strong>Micronic</strong> Laser Systems. “Pattern Generation with SLM Imaging”.<br />

Proceedings of SPIE Vol. 4562 (2002)<br />

/ Slide 6<br />

(1)


DUV<br />

Laser<br />

Imaging Engine of the OML Scanner<br />

The Spatial Light Modulator (SLM)<br />

OML Scanner<br />

Illum<br />

Optics<br />

SLM Contrast<br />

Devices<br />

>100x Proj<br />

Optics<br />

Image<br />

Plane<br />

/ Slide 7<br />

Example Contrast<br />

Device:<br />

<strong>Micronic</strong> / Fraunhofer SLM<br />

16 µm square tilting pixels<br />

8 mm x 33mm active area<br />

512 x 2048 pixels<br />

(1.048 MPixels per SLM)<br />

Multiple devices are used<br />

in parallel to achieve<br />

throughput requirements<br />

Multiple contrast device technologies are being evaluated.<br />

(2) Sandström, et al. <strong>Micronic</strong> Laser Systems. “Pattern Generation with SLM Imaging”.<br />

Proceedings of SPIE Vol. 4562 (2002)<br />

(2)<br />

(2)


Systems Engineering<br />

Alternative Pixel Geometries<br />

Tilt<br />

Phase-Step Tilt<br />

Piston<br />

<strong>ASML</strong> is actively engaging with all SLM suppliers to evaluate<br />

actuation principles and alternatives.<br />

/ Slide 8<br />

Operating Principle Phase & Intensity Range<br />

Phase interference between<br />

each half of mirror creates net<br />

intensity thru tilt.<br />

Like tilt with λ/4 phase step.<br />

Provides balanced intensity<br />

range for 0 o and 180 o phase.<br />

Pure phase manipulation.<br />

Interference with neighboring<br />

mirrors manipulates intensity.<br />

0 o phase: 0% - 100%<br />

180 o phase: 0% - 4%<br />

0 o phase: 0% - 50%<br />

180 o phase: 0% - 50%<br />

Any phase between 0 o and<br />

360 o : 0% - 100%


Tilt SLMs<br />

Principle of Image Formation<br />

Bright (full reflection into<br />

pupil) when mirror is at zero<br />

tilt<br />

Gray Tone (partial reflection<br />

into pupil) at intermediate tilt<br />

positions<br />

Attenuated Phase Shift<br />

(reflection into pupil with 180o phase shift) when mirror tilt is<br />

beyond λ/4 height difference<br />

edge-to-edge<br />

Capable of emulating the<br />

imaging capabilities of binary<br />

and att-PSM masks.<br />

/ Slide 9<br />

Tilt Mirror Intensity


Phase-Step Tilt SLMs<br />

emulate alt-PSM and<br />

CPLTM Masks<br />

Dark (no reflection into pupil)<br />

when mirror is at zero tilt<br />

Bright (70% reflection),<br />

symmetrical in positive and<br />

negative phase<br />

Gray Tone (partial reflection<br />

into pupil) at intermediate tilt<br />

positions for both positive and<br />

negative phase<br />

/ Slide 10<br />

Im(Refl)<br />

[Phase]<br />

Re(Refl)<br />

[Amplitude]<br />

Amplitude<br />

+0.7<br />

0<br />

-0.7<br />

Clear<br />

Dark<br />

Attenuated<br />

Shifted<br />

Tilt α


Piston SLMs emulate<br />

also alt-PSM, CPLTM<br />

and multi-phase masks<br />

Gray Tone (grouped mirrors<br />

for destructive interference) by<br />

alternating pistons in<br />

checkerboard pattern.<br />

w Gray-tone based on relative<br />

heights in checkerboard<br />

w Phase based on the average<br />

height of the checkerboard<br />

Phase Edge (line interference)<br />

by alternating rows / columns<br />

of height.<br />

/ Slide 11


Writing Strategy:<br />

Loading and Writing a Pattern<br />

1. Break die pattern into stripes.<br />

Idealized pattern SLM<br />

data calibration<br />

5. For each stamp, apply pixel calibration data<br />

and send final processed image to SLM.<br />

/ Slide 12<br />

+ =<br />

2. Break stripe into micro-stripes.<br />

Each micro-stripe spans one row of<br />

SLMs in the array.<br />

Micro-shot n Micro-shot n+1 Micro-shot n+2<br />

4. Address the position of the next stamp in the<br />

micro-stripe. This address determines the pattern<br />

data from the die to be included in stamp.<br />

3. Load full micro-stripes<br />

into each SLMs drive<br />

electronics.<br />

Data to be sent<br />

to SLM 6. Wafer is printed by controlling the<br />

sequence of stamps and stripes across<br />

all SLMs in the array.


Writing Strategy:<br />

Field Writing Strategies<br />

Field Writing Strategy I<br />

A given stripe in all fields on<br />

the wafer is exposed before<br />

proceeding to the next stripe<br />

/ Slide 13<br />

Field Writing Strategy II<br />

All stripes in a given row of<br />

fields are exposed proceeding<br />

to the next row of fields<br />

Field Writing Strategy III<br />

All stripes in a given field are<br />

exposed proceeding to the next<br />

field in the column<br />

The data path architecture can be configured for<br />

different field writing strategies.


Data Path: In-line Rasterization<br />

Once<br />

per Design<br />

[60... min]<br />

Once<br />

per Lot<br />

[60 min]<br />

Once<br />

per wafer<br />

[12 min]<br />

Once<br />

per Die<br />

525GB<br />

(800GB)<br />

Parse<br />

convert<br />

0.2 GB/s<br />

/ Slide 14<br />

Design file:<br />

525 GB ATP<br />

800GB Max.<br />

750GB<br />

(1.2TB)<br />

Rasterization<br />

supersample<br />

2 GPix/s<br />

Extraction & Rasterisation<br />

twice per wafer<br />

80% eff. writing time,<br />

Image cache:<br />

I/O bandwidth: 2.8 GB/s<br />

34 servers (9TB)<br />

Invariant pixel<br />

manipulations<br />

2 GPix/s<br />

2 x 26 GB<br />

Variant pixel<br />

Manipulations<br />

250 GPix/s<br />

Print buffer:<br />

holds 2 image<br />

stripes (SDRAM)<br />

To DAC’s,<br />

Amp’s &<br />

SLM


Data Path: Off-line Rasterization<br />

Once<br />

per Lot<br />

[60 min]<br />

Design file:<br />

525 GB ATP<br />

800GB Max.<br />

Once<br />

per Design<br />

[60... min]<br />

Once<br />

per wafer<br />

[12 min]<br />

Once<br />

per Die<br />

525GB<br />

(800GB)<br />

/ Slide 15<br />

Intermediate storage:<br />

IO bandwidth: 0.4 GB/s<br />

8 servers (2TB)<br />

Parse<br />

Convert<br />

0.2GB/s<br />

750GB<br />

(1.2TB)<br />

Rasterize<br />

Supersample<br />

0.4GPix/s<br />

860GB<br />

(1.4TB)<br />

1.4GB/s<br />

Invariant pixel<br />

manipulations<br />

2 GPix/s<br />

Image cache:<br />

I/O bandwidth: 1.6 GB/s<br />

22 servers (6TB)<br />

2x0.7 TB<br />

Variant pixel<br />

Manipulations<br />

250 GPix/s<br />

Print buffer:<br />

Holds 2 shots for<br />

entire die (SDRAM)<br />

To DAC’s,<br />

Amp’s &<br />

SLM


Technical Challenges OML<br />

SLM Contrast Device<br />

w Mirror variability<br />

w Calibration<br />

w Manufacturability<br />

Lasers with improved pulse-to-pulse stability and jitter<br />

performance<br />

Rasterization for different contrast device types<br />

Logistics for seamless factory integration of OML<br />

/ Slide 16


Agenda<br />

Introduction and Principles of Operation<br />

DARPA Program Activities<br />

w Contrast Device Test Stands<br />

w Systems Engineering<br />

w Modeling Results<br />

<strong>Micronic</strong> SIGMA 7300 results<br />

Summary and Conclusions<br />

/ Slide 17


Program Activities<br />

DARPA Contract Awarded to <strong>ASML</strong>, June 30, 2004<br />

Development of calibration and imaging test stands<br />

w Characterize SLM mechanical properties, including shape, dynamic response,<br />

flatness, height variation, repeatability, drift, etc.<br />

Test Bench 1: White Light Interferometer<br />

w Demonstrate SLM imaging capabilities with aerial image measurements at target<br />

wavelength.<br />

Test Bench 2: SLM Calibration and Imaging Test Stand<br />

Characterize and image multiple candidate contrast devices<br />

w Working closely with Fraunhofer and DARPA-sponsored contrast device suppliers<br />

Systems Engineering<br />

w Pixel Geometry Tradeoff Study -- developing modeling tools to simulate the<br />

lithographic imaging performance of different SLM types (e.g. tilt, piston, etc.), and<br />

the imaging impact of known imperfections<br />

w System Requirements and Error Budgets -- developing system performance<br />

budgets to be able to place specifications on critical contrast device parameters<br />

w Calibration and Rasterization Algorithm Development -- developing calibration<br />

and pattern generation schemes for optimizing the imaging performance of each<br />

contrast device type and incorporating low k1 imaging enhancements (e.g. off-axis<br />

illumination, OPC, etc.)<br />

/ Slide 18


White Light Interferometer Measurements<br />

Zygo NewView 5000 Series System for Surface Profiling<br />

/ Slide 19<br />

Images courtesy of Zygo Corportation<br />

http://www.zygo.com/nv5000/nv5000.htm<br />

Z resolution ~ 0.1nm<br />

Lateral resolution ~ 0.5 µm


Zygo White Light Tester<br />

Device Independent Infrastructure<br />

IBM Workstation<br />

Control Software:<br />

LabVIEW 7.1 / C<br />

Frame grabber<br />

Serial port<br />

GPIB Interface<br />

TCP/IP Network<br />

/ Slide 20<br />

Camera &<br />

Interferometer<br />

Zygo NewView 5032<br />

Contrast Device Drive Electronics<br />

<strong>ASML</strong> Computer interfaces with Zygo NewView 5032<br />

Illumination Source<br />

Stages<br />

DUT


Slide 21


Aerial Image Tester<br />

Optical Magnification of SLM Image<br />

Available magnifications<br />

are 3, 9.6 and 24 x<br />

In the tester, SLM mirrors<br />

are not resolved at image<br />

plane.<br />

The optical design mimics<br />

the condition of a future<br />

OML tool.<br />

Contrast Device<br />

Mirror Array<br />

/ Slide 22<br />

Image at CCD


Aerial Image Tester<br />

Device Independent Infrastructure<br />

IBM Workstation<br />

Control Software:<br />

LabVIEW 7.1 / C<br />

Frame grabber<br />

Serial port<br />

GPIB Interface<br />

/ Slide 23<br />

Camera & Controller 193 nm Litho Laser<br />

Hamamatsu CCD<br />

<strong>ASML</strong> Aerial Image<br />

Tester<br />

Contrast Device Drive Electronics<br />

Lambda NovaLine A2010<br />

XY Stage & Controller<br />

Physik Instrumente<br />

<strong>ASML</strong> Computer Controls laser, drives stages, collects camera images<br />

DUT


Pattern Generator & Device Drive Electronics<br />

Architecture Supports Multiple Contrast Devices<br />

2 meter<br />

flexible<br />

interconnect<br />

/ Slide 24<br />

Pattern Generator PCB (host)<br />

Memory<br />

Data Path Transfers Mirror<br />

Contrast<br />

Pattern from PC Device to Driver Contrast PCB<br />

FPGA<br />

(plug in module)<br />

Device<br />

USB 2.0<br />

Interface<br />

1 GByte<br />

Pattern Generator PCB (Host)<br />

Accepts Contrast Device Driver PCB plug-in<br />

module (customizable plug-in)<br />

Required mirror settings are downloaded over<br />

USB port and stored in 1 GByte of memory<br />

Field Programmable Gate Array (FPGA) drives<br />

18 channels of 12 bits @ 20 Mhz (4.3 Gbps)<br />

FPGA is re-configurable via the USB port to<br />

support multiple contrast devices<br />

Digital Interface<br />

DAC Amp<br />

Analog Outputs<br />

Contrast<br />

Device<br />

Interface<br />

PCB<br />

DUT<br />

1/2 meter flexible<br />

interconnect<br />

Contrast Device Driver PCB (Module)<br />

150 x 150 mm CMC plug-in module<br />

Baseline design drives 16 analog outputs with<br />

30V swing and 10 bit accuracy at 10-20 MHz<br />

Modules will be developed as needed to drive<br />

specific contrast devices<br />

Host / module are scalable to drive more lines by<br />

using multiple boards


Calibration and Imaging Test Stand<br />

Status<br />

Tester Optical Design has been completed<br />

w Mag Lenses and electronics have been designed to accommodate<br />

different SLM from Silicon Light Machines, <strong>Micronic</strong>, and Lucent<br />

Technologies.<br />

Projection Optics Optical fabrication complete Jan 2005<br />

Illumination Optics fabrication complete Feb 2005<br />

Optical assembly expected completion Feb 2005<br />

Datapath/Electronics Complete March of 2005<br />

Integration of imaging tester complete March 2005<br />

Testing of static contrast devices March 2005<br />

Testing of final devices Q4 05<br />

/ Slide 25


Systems Engineering<br />

Impact of SLM Imperfections<br />

Imperfection<br />

Mirror Reflectance<br />

Mirror Height Variation<br />

Mirror Flatness (Intra-Mirror)<br />

Mirror Gap Properties<br />

SLM Global Flatness<br />

/ Slide 26<br />

Impact on Imaging<br />

Non-uniform intensity, resulting in<br />

contrast reduction, poor uniformity,<br />

errors in CDU and overlay<br />

Non-uniform phase, resulting in<br />

contrast reduction, poor uniformity,<br />

errors in CDU and overlay<br />

Non-uniform intensity and phase across<br />

the mirror, resulting in contrast<br />

reduction, poor uniformity, errors in<br />

CDU and overlay<br />

Stray light and/or undesired<br />

interference with mirrors, resulting in<br />

image degradation<br />

Non-flat chip results in telecentricity<br />

effects at the wafer


Systems Engineering<br />

Height Variation and its Impact on the Aerial Image thru Focus<br />

-50 nm defocus,<br />

2.25% uniformity<br />

/ Slide 27<br />

-25 nm defocus,<br />

1.3% uniformity<br />

Best focus,<br />

0.4% uniformity<br />

+25 nm defocus,<br />

1.2% uniformity<br />

+50 nm defocus,<br />

2% uniformity


Applications<br />

Sample Imaging Applications with OML<br />

Double-dipole elbow<br />

Isolated line exposure dose window<br />

Memory cell<br />

Alternating Phase Shift with Trim<br />

OPC with Gray Scaling<br />

Dense Contact Holes<br />

/ Slide 28


Applications<br />

Double Dipole Decomposition of 70 nm Elbows<br />

Simulation<br />

w NA 0.93, 193 nm<br />

w Dipole, sigma<br />

0.7/0.8/30o w Tilt Mirror SLM<br />

w High-NA vector<br />

unpolarized model<br />

w No OPC<br />

Results<br />

w Elbow features print the<br />

same in mask-based<br />

and OML<br />

w Any OPC needed is<br />

exactly the same for<br />

mask-based and OML<br />

/ Slide 29<br />

Data + =<br />

Exp. 1 Exp. 2<br />

Y (nm)<br />

-400<br />

-300<br />

Vertical Component<br />

-200<br />

-200<br />

Mask<br />

-100<br />

0<br />

100 +<br />

-100<br />

0<br />

100 =<br />

200<br />

200<br />

Y (nm)<br />

-400<br />

-300<br />

300<br />

Horisontal Component<br />

400<br />

-400 -200 0<br />

X (nm)<br />

200 400<br />

Y (nm)<br />

300<br />

400<br />

-400 -200 0<br />

X (nm)<br />

200 400<br />

-400<br />

-300<br />

Vertical Component<br />

-200<br />

-200<br />

OML<br />

-100<br />

0<br />

100 +<br />

-100<br />

0<br />

100 =<br />

200<br />

200<br />

Y (nm)<br />

-400<br />

-300<br />

300<br />

Horisontal Component<br />

400<br />

-400 -200 0<br />

X (nm)<br />

200 400<br />

300<br />

400<br />

-400 -200 0<br />

X (nm)<br />

200 400<br />

Y (nm)<br />

Y (nm)<br />

-400<br />

-300<br />

-200<br />

-100<br />

0<br />

100<br />

200<br />

300<br />

Resulting Image<br />

400<br />

-400 -200 0<br />

X (nm)<br />

200 400<br />

-400<br />

-300<br />

-200<br />

-100<br />

100<br />

200<br />

300<br />

0<br />

Resulting Image<br />

400<br />

-400 -200 0<br />

X (nm)<br />

200 400<br />

Courtesy of <strong>Micronic</strong>


Applications<br />

Exposure Dose Window, 50 nm Isolated Line w/ Scatter Bars<br />

Simulation:<br />

w NA 0.93, 193 nm, dipole illumination<br />

w Tilt Mirror SLM<br />

w High-NA vector unpolarized model<br />

w 30 nm OML pixels (wafer scale)<br />

Line: 1.67 pixels wide<br />

Scatter Bars: 0.67 pixels wide<br />

Result: Matched Exposure Latitude with Mask-Based & OML<br />

6% Att-PSM Reticle<br />

/ Slide 30<br />

OML<br />

20<br />

Data<br />

50<br />

220


Applications<br />

Memory Cell Gate Layer with OPC and Custom Illumination<br />

Original Pattern<br />

Aerial Image Intensity thru Focus<br />

/ Slide 31<br />

Rasterized Pattern w/ OPC Optimized Illuminaton<br />

for Improved Depth of Focus<br />

Best Focus -50 nm de-focus -100 nm de-focus


Applications<br />

Alternating PSM with Binary Trim Mask<br />

Mask<br />

+<br />

/ Slide 32<br />

Contrast Device<br />

35 nm<br />

+<br />

Height (nm)<br />

250<br />

200<br />

150<br />

100<br />

w Phase-Step Tilt Mirror SLM, 30 nm wafer scale,<br />

0.93 NA in resist<br />

w Printed linewidth is 35 nm<br />

w Linewidth and resist cross-section is<br />

maintained as the image is shifted through the<br />

mirror grid<br />

50<br />

Resist Cross Sections<br />

Grid shift 0 nm<br />

Grid shift 5 nm<br />

Grid shift 10 nm<br />

Grid shift 15 nm<br />

Grid shift 20 nm<br />

Grid shift 25 nm<br />

Grid shift 30 nm<br />

0<br />

-100 -50 0<br />

X (nm)<br />

50 100<br />

Height (nm)<br />

20<br />

15<br />

10<br />

5<br />

0<br />

15 20<br />

X (nm)<br />

Courtesy of <strong>Micronic</strong>


Applications<br />

Optical Proximity Correction (OPC) with Gray Scaling<br />

Without OPC<br />

With OPC<br />

/ Slide 33<br />

Mirror Tilt<br />

[mrad]<br />

7<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

0


80 nm Half-Pitch Contact Holes<br />

/ Slide 34<br />

Shift = 0 nm


80 nm Half-Pitch Contact Holes<br />

/ Slide 35<br />

Shift = 5 nm


80 nm Half-Pitch Contact Holes<br />

/ Slide 36<br />

Shift = 10 nm


80 nm Half-Pitch Contact Holes<br />

/ Slide 37<br />

Shift = 15 nm


80 nm Half-Pitch Contact Holes<br />

/ Slide 38<br />

Shift = 20 nm


80 nm Half-Pitch Contact Holes<br />

/ Slide 39<br />

Grayscaling makes<br />

aerial image<br />

independent of grid<br />

position<br />

Shift = 20 nm


Agenda<br />

Introduction and Principles of Operation<br />

DARPA Program Activities<br />

w Contrast Device Test Stands<br />

w Systems Engineering<br />

w Modeling Results<br />

SLM based Printing Results: <strong>Micronic</strong> SIGMA 7300<br />

Summary and Conclusions<br />

/ Slide 40


Slide 41<br />

<strong>Micronic</strong> Sigma7300<br />

SLM-based mask writer for 65 and 45 nm reticles


<strong>Micronic</strong> Sigma7300<br />

Second generation SLM-based mask writer<br />

Status January 2005<br />

Product development finalized<br />

β-shipment late 2003<br />

Field evaluation completed at major mask<br />

shop. System selected.<br />

Shipping to customers<br />

Major application space<br />

Quick turn-around and cost-effective<br />

production of 65 nm and 45 nm node<br />

reticles<br />

Interconnect layers (manhattan &<br />

X-design)<br />

2nd 150 nm dense<br />

on mask<br />

level printing of advanced PSM<br />

(alt-PSM, CPL)<br />

/ Slide 42<br />

150 nm space<br />

on mask


Sigma7300 Technical Data<br />

Laser<br />

SLM<br />

Optics<br />

Data channel<br />

Throughput<br />

/ Slide 43<br />

KrF (248nm), 2 kHz excimer<br />

One SLM Gen. 2B<br />

512 x 2048 mirrors<br />

16 x 16 µm Al alloy mirror<br />

Lifetime ~6 months (24/7 op.)<br />

0.82 NA<br />

200x de-magnification<br />

FPGA<br />

Supports 2 Gpixel/sec<br />

On-line pattern accuracy<br />

enhancements, e.g. Corner<br />

Enhancement (CE)<br />

3-hour 6” reticle write time (using<br />

four exposure passes)<br />

Independent of design and OPC<br />

(>100 Gb mask data volume)<br />

SLM chip module in Sigma7300<br />

16x16 µm Al alloy<br />

micro mirrors


Corner Enhancement (CE)<br />

CAD data<br />

/ Slide 44<br />

Gray pixel data<br />

in pass #1<br />

SEM image<br />

Sigma7300 exposure<br />

Gray scale enhancements at corners for increased pattern fidelity<br />

Line-end shortening, corner pullback and OPC fidelity match 50 keV VSB<br />

Pattern matching to 1st level for 2nd level printing of advanced PSM<br />

On-line enhancement in FPGA Adjustment Processor<br />

No throughput penalty


Corner Enhancement (CE)<br />

CAD data<br />

/ Slide 45<br />

Gray pixel data<br />

in pass #1<br />

SEM image<br />

Sigma7300 exposure<br />

Gray scale enhancements at corners for increased pattern fidelity<br />

Line-end shortening, corner pullback and OPC fidelity match 50 keV VSB<br />

Pattern matching to 1st level for 2nd level printing of advanced PSM<br />

On-line enhancement in FPGA Adjustment Processor<br />

No throughput penalty


Total job time (hours)<br />

Throughput<br />

Write time in high-quality mode (4-pass) is typically 3 hours<br />

Throughput only depends on the mask layout<br />

Independent of pattern design and OPC (>100 Gb mask data volume)<br />

3-pass or 2-pass write modes for looser mask requirements. Same resolution and<br />

address unit as in 4-pass mode.<br />

Non-critical patterns, e.g. text and barcodes, printed with 1-pass<br />

10<br />

9<br />

8<br />

7<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

0<br />

Total mask write times, including overhead, in different write modes<br />

Typical 90-nm node metal layer reticle<br />

4-pass 4-pass with CE 3-pass 2-pass<br />

/ Slide 46<br />

Mask layout


Performance on Mask<br />

Resolution<br />

w Min. dark assist line 130 nm<br />

w Min. clear assist line 170 nm<br />

w CD linearity, iso space


CD Linearity<br />

CD Linearity<br />

Isolated lines and spaces<br />


Global CD Uniformity<br />

132x132 mm. Composite 260 nm isolated spaces.<br />

X Y<br />

Linewidth<br />

3-sigma<br />

Range/2<br />

260 nm<br />

6,2<br />

5,7<br />

/ Slide 49<br />

5 nm<br />

- 5 nm<br />

Linewidth<br />

3-sigma<br />

Range/2<br />

260 nm<br />

5,0<br />

5,0<br />

5 nm<br />

- 5 nm


Any Angle Performance<br />

Good performance for X-design<br />

Angular CD variation<br />

w 4.4 nm (0,45,90,135 degree)<br />

w 5.5 nm (any angle)<br />

CDU and LER almost independent<br />

of pattern orientation<br />

Throughput independent of pattern<br />

orientation<br />

/ Slide 50<br />

CD (nm)<br />

520<br />

510<br />

500<br />

490<br />

480<br />

0<br />

30<br />

60<br />

90<br />

120<br />

150<br />

180<br />

210<br />

Angle (Deg)<br />

240<br />

270<br />

300<br />

330<br />

360


Second Layer Alignment for PSM<br />

Sigma7300 PSM alignment monitor plate, May-October 2004.<br />

Layer to layer overlay (nm)<br />

Layer to layer overlay (nm)<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

1<br />

1<br />

3<br />

3<br />

5<br />

5<br />

/ Slide 51<br />

7<br />

7<br />

9<br />

9<br />

11<br />

11<br />

13<br />

13<br />

15<br />

15<br />

17<br />

17<br />

19<br />

19<br />

21<br />

21<br />

23<br />

23<br />

25<br />

25<br />

27<br />

27<br />

29<br />

29<br />

31<br />

Plate # (May-Oct. 2004)<br />

31<br />

Plate # (May-Oct. 2004)<br />

33<br />

33<br />

35<br />

35<br />

37<br />

37<br />

39<br />

39<br />

41<br />

41<br />

43<br />

43<br />

45<br />

45<br />

47<br />

47<br />

49<br />

49<br />

X 3s<br />

X Mean<br />

Y 3s<br />

Y Mean


Conclusions<br />

Sigma7300, a second generation SLM-based mask writer, is shipping to<br />

customers<br />

Performance on mask meets or exceeds expectations<br />

Field evaluation completed at major mask shop. System selected.<br />

Major application space:<br />

w 65 and 45 nm interconnect layer reticles<br />

w Second layer printing of advanced PSM (AAPSM, CPL)<br />

/ Slide 52


Agenda<br />

Introduction and Principles of Operation<br />

DARPA Program Activities<br />

w Contrast Device Test Stands<br />

w Systems Engineering<br />

w Modeling Results<br />

SLM based Printing Results: <strong>Micronic</strong> SIGMA 7300<br />

Summary and Conclusions<br />

/ Slide 53


Summary OML Advantages<br />

Save money on mask costs<br />

Improve time to market for prototype, low-volume,<br />

and medium-volume wafer runs<br />

w Fab transparency with the same lithographic processes l, NA,<br />

resists, (OPC)<br />

Enable strong-phase shift applications that are<br />

impossible or prohibitively expensive with masks<br />

Make Engineering and Development easier<br />

w Enable more characterization tests for processes / design libraries<br />

w Evaluate alternative designs and design iterations in resist<br />

/ Slide 54


Current Wafer Fab<br />

All designs<br />

All reticles<br />

/ Slide 55<br />

All wafers<br />

Regular<br />

scanners<br />

All output<br />

wafers


Vision on Future Wafer Fab<br />

High volume designs<br />

Few reticles<br />

New designs<br />

/ Slide 56<br />

Most wafers<br />

Few wafers<br />

New and Low-Volume and<br />

Medium-Volume Designs<br />

Maskless<br />

scanners<br />

Regular<br />

scanners<br />

High volume<br />

wafers<br />

Low volume<br />

and design<br />

prototype wafers


Conclusions<br />

<strong>ASML</strong> is actively investigating OML as lower-NRE, more<br />

flexible alternative to mask-based lithography for<br />

w Lower cost and faster design verification in silicon<br />

w Lower cost low-volume production of ASICs and SOCs<br />

<strong>Micronic</strong> SIGMA 7300 results proves SLM based printing<br />

The SLM for a 5-wph 65/45nm OML Scanner is actively<br />

addressed through US (DARPA) and European cooperation<br />

w Supporting mask-equivalent 65/45nm imaging performance<br />

<strong>ASML</strong> Views “FAB Transparency” as a key advantage of OML<br />

Acknowledgements<br />

w This work is partly sponsored by DARPA under Contract # N66001-04-<br />

C-8027<br />

/ Slide 57

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!