24.04.2013 Views

Verification of Parameterised FPGA Circuit Descriptions with Layout ...

Verification of Parameterised FPGA Circuit Descriptions with Layout ...

Verification of Parameterised FPGA Circuit Descriptions with Layout ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

CHAPTER 4. VERIFYING CIRCUIT LAYOUTS 98<br />

B<br />

A<br />

C<br />

Figure 4.16: An irregular grid such as this one is impossible to describe using purely beside<br />

and below relative placement.<br />

pro<strong>of</strong> obligation and can be accomplished by using HOL theorems such as:<br />

theorem the equality: "P a;Îx. P x =⇒ x = a =⇒ (THE x. P x) = a"<br />

theorem theI2: "P a;Îx. P x =⇒ x = a;Îx. P x =⇒ Q x =⇒ Q (THE x. P x)"<br />

Theorem theI2 is the most useful, since it allows a definite description to be extracted from<br />

<strong>with</strong>in a let-definition. However, selecting the correct value is then a pro<strong>of</strong> obligation in<br />

three parts: proving that a value bound by the predicate exists, proving that it is unique<br />

and proving that it satisfies the original proposition. This is a complex and fiddly process<br />

which in general is not worth bothering <strong>with</strong>. It is, however, an illuminating and unexpected<br />

observation that directional abstraction, which generally aids reasoning about functional<br />

properties, complicates reasoning about layout when internal signals are involved.<br />

Full definitions and theories for some <strong>of</strong> the recursive index operators can be found in Ap-<br />

pendix C.2.<br />

4.7.2 An Irregular Grid<br />

In Chapter 1 we introduced one example <strong>of</strong> a pathological layout arrangement that was<br />

impossible to describe using purely beside and below relative placement constructs. This<br />

irregular grid arrangement is shown in Figure 4.16.<br />

Quartz can describe a combinator <strong>with</strong> this layout, as shown in Figure 4.17. <strong>Layout</strong> pro<strong>of</strong>s<br />

were attempted for two versions <strong>of</strong> this combinator: one <strong>with</strong> an inferred size function and<br />

one where the size function was specified manually. There was a marked difference in the<br />

D<br />

E

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!