20.04.2013 Views

Curriculum vitae of prof. Massimo Bruno Cristiano Alioto, Ph.D.

Curriculum vitae of prof. Massimo Bruno Cristiano Alioto, Ph.D.

Curriculum vitae of prof. Massimo Bruno Cristiano Alioto, Ph.D.

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Curriculum</strong> <strong>vitae</strong> <strong>of</strong><br />

pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong>,<br />

<strong>Ph</strong>.D.


<strong>Curriculum</strong> <strong>vitae</strong> <strong>of</strong> pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong>, <strong>Ph</strong>.D.<br />

General information<br />

<strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong> was born in Brescia (Italy) in 1972, and currently lives<br />

in Siena (Italy).<br />

In 2005 he is appointed Associate Pr<strong>of</strong>essor in Italy, and is <strong>of</strong>ficially engaged in 2006<br />

by the Faculty <strong>of</strong> Engineering <strong>of</strong> the University <strong>of</strong> Siena.<br />

Since 2002 he has been teaching undergraduate, graduate and post-graduate courses on<br />

Electronics at the Faculty <strong>of</strong> Engineering <strong>of</strong> Siena.<br />

In 2002 he joins the Faculty <strong>of</strong> Engineering <strong>of</strong> the University <strong>of</strong> Siena as a Research<br />

Associate, and a few months later he becomes Assistant Pr<strong>of</strong>essor <strong>of</strong> Electronics in the<br />

same faculty.<br />

In 2001 he takes the <strong>Ph</strong>.D. degree in Electrical Engineering at the Engineering Faculty <strong>of</strong><br />

Catania (Italy). In the same year he teaches the undergraduate course on Microelectronics in<br />

the same faculty.<br />

In 1998 he starts the <strong>Ph</strong>.D. in Electrical Engineering.<br />

In 1997 he takes the Laurea degree on Electronics Engineering at the Engineering<br />

Faculty <strong>of</strong> Catania (Italy), and achieved the license as a pr<strong>of</strong>essional engineer.<br />

Teaching activity<br />

In 2005 and 2006 he is lecturer <strong>of</strong> the short course Timing and Adders Optimization at<br />

the Electronic Engineering Faculty <strong>of</strong> Udine (Italy), and in 2006 <strong>of</strong> the short course Areapower-delay<br />

trade-<strong>of</strong>fs in VLSI circuits at the Electronic Engineering Faculty <strong>of</strong> Catania<br />

(Italy).<br />

Since 2004 he teaches the graduate course Electronics and Digital Systems Technology,<br />

which is focused on advanced aspects on the VLSI design (clock network, clock skew, low<br />

power design, metrics for circuit optimization, physical design, cell library design, areapower-time<br />

trade-<strong>of</strong>f, arithmetic circuits).<br />

Since 2003 he teaches a module <strong>of</strong> 30 hours for the undergraduate course Digital<br />

Systems Electronics at he Engineering Faculty <strong>of</strong> Siena, dealing with the design <strong>of</strong> FPGAs<br />

2


<strong>Curriculum</strong> <strong>vitae</strong> <strong>of</strong> pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong>, <strong>Ph</strong>.D.<br />

through HDL description and standard design flows. Since 2002 he teaches the<br />

undergraduate course Electronics, which introduces the basics <strong>of</strong> analog circuits.<br />

In 2002, he teaches the post-graduate course on Hardware Architectures <strong>of</strong> Digital<br />

Systems for the post-graduate master Sicurnet, organized by the University <strong>of</strong> Siena with<br />

many other partners, and a module <strong>of</strong> the course Electronics II and courses Electronics I and<br />

Laboratory <strong>of</strong> Electronic Circuits Design. Only in 2002 he also teaches the graduate short<br />

courses Electronics II, Electronics I and Laboratory <strong>of</strong> Electronic Circuit Design at the<br />

Engineering faculty <strong>of</strong> Siena. In the same year he is the author <strong>of</strong> the on-line course Ipertext<br />

Guide to Analog/Digital Circuits through the SPICE simulator.<br />

In 2001 he teaches the undergraduate course on Microelectronics in the Engineering<br />

Faculty <strong>of</strong> Catania.<br />

From 1997 to 2001 he gave several lectures on graduate courses on analog and digital<br />

electronics at the Faculty <strong>of</strong> Electronics Engineering <strong>of</strong> Catania.<br />

Since 1997 he has been supervising several M.Sc. and B.Sc. thesis focused on aspects<br />

related to the modeling and the design <strong>of</strong> digital circuits, at the transistor, gate and system<br />

level.<br />

Scientific activity<br />

The scientific activity <strong>of</strong> Dr. <strong>Alioto</strong> is focused on electronic integrated circuits with<br />

emphasis on the digital circuits with a high performance, in terms <strong>of</strong> high speed and/or low<br />

power consumption. In particular, his main research interests and publications can be<br />

classified into<br />

• modeling and design <strong>of</strong> (bipolar) emitter-coupled and (CMOS) source-coupled<br />

logic circuits (ECL, CML, MCML)<br />

• low-power and adiabatic circuits<br />

• optimized design <strong>of</strong> logic and arithmetic circuits (adders at the transistor and gate<br />

level, high-fanin multiplexers)<br />

• circuits for cryptography (true- and pseudo- random number generators, circuits<br />

resistance to Differential Power Analysis attacks)<br />

• design for variability in nanometer technologies<br />

He is co-author <strong>of</strong> the book Model and Design <strong>of</strong> Bipolar and MOS Current-Mode Logic<br />

(CML, ECL and SCL Digital Circuits) published by Springer in 2005. He is author or co-<br />

3


<strong>Curriculum</strong> <strong>vitae</strong> <strong>of</strong> pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong>, <strong>Ph</strong>.D.<br />

author <strong>of</strong> 31 papers on international journals, 45 papers on international conferences and 3<br />

papers on national journals (see the detailed list <strong>of</strong> publication after this section).<br />

Since 2000, he has been a reviewer <strong>of</strong> several papers for the following international<br />

journals<br />

• IEEE Transactions on Circuits and Systems – Part I<br />

• IEEE Transactions on Circuits and Systems – Part II<br />

• Microelectronics Journal<br />

• Journal <strong>of</strong> Low Power Electronics<br />

• IEE Electronics Letters<br />

• IEE Proceedings on Circuits, Devices & Systems<br />

• IEE Proceedings on Computers and Digital Techniques<br />

• Journal <strong>of</strong> Embedded Computing<br />

• Integration – The VLSI journal<br />

and for the international conferences ISCAS2005, ECCTD2005, ISCAS2004, ICECS2003,<br />

ISCAS2003, ISCAS2002, IECON2001.<br />

In 2005 he is member <strong>of</strong> the Technical Committee <strong>of</strong> the conference PATMOS2005 for<br />

the area “Circuits, low-power”. In 2004 he was Chairman <strong>of</strong> the parallel sessions<br />

“Clocking” and “Flip-Flops” at the ISCAS conference. Since 2006 he is member <strong>of</strong> the<br />

VLSI Systems and Applications Technical Committee <strong>of</strong> the IEEE Circuits and Systems<br />

Society, and since 2005 he is member <strong>of</strong> the Technical Program Committee <strong>of</strong> the<br />

PATMOS international workshop.<br />

He has presented various papers at international conferences (with referral to the list <strong>of</strong><br />

publications, the papers [C31], [C30], [C29], [C24], [C23], [C22], [C21], [C20], [C14],<br />

[C8], [C5], [C4]).<br />

He participated in national research projects, such as PAR2003 for the “Study <strong>of</strong><br />

Differential Power Analysis-immune cryptographic algorithms and devices”, and FIRB on<br />

the “Reconfigurable platform for wide-band mobile communications” from 2001 to 2003, in<br />

collaboration with Marconi Mobile. In 2006, he leads the Unit <strong>of</strong> Siena in the context <strong>of</strong> the<br />

project PRIN2006 “Conventional (bulk) versus emerging nanoscale CMOS technologies: a<br />

comparative evaluation from the device to the system level”.<br />

4


<strong>Curriculum</strong> <strong>vitae</strong> <strong>of</strong> pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong>, <strong>Ph</strong>.D.<br />

List <strong>of</strong> publications <strong>of</strong> pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong><br />

Books<br />

[B1] M. <strong>Alioto</strong>, G. Palumbo, Model and Design <strong>of</strong> Bipolar and MOS Current-Mode Logic (CML, ECL<br />

and SCL Digital Circuits), Springer, 2005.<br />

International journals<br />

[R1] M. <strong>Alioto</strong>, G. Palumbo, "Highly Accurate and Simple Models for CML and ECL gates", IEEE<br />

Trans. on CAD, vol. 18, no. 9, pp. 1369-1375, September 1999.<br />

[R2] M. <strong>Alioto</strong>, G. Palumbo, "CML and ECL: Optimized Design and Comparison," IEEE Trans. on CAS<br />

part I, vol. 46, no. 11, pp. 1330-1341, November 1999.<br />

[R3] M. <strong>Alioto</strong>, G. Palumbo, "Modeling and Optimized Design <strong>of</strong> Current Mode MUX/XOR and D Flip-<br />

Flop," IEEE Trans. on CAS part II, Vol. 47, no. 5, pp. 452-461, May 2000.<br />

[R4] M. <strong>Alioto</strong>, G. Palumbo, "Performance Evaluation <strong>of</strong> Adiabatic Gates," IEEE Trans. on CAS part I,<br />

vol. 47, no. 9, pp. 1297-1308, September 2000.<br />

[R5] M. <strong>Alioto</strong>, G. Palumbo, "Oscillation Frequency in CML and ESCL Ring Oscillators,” IEEE Trans.<br />

on CAS part I, vol. 48, no. 2, pp. 210-214, February 2001.<br />

[R6] M. <strong>Alioto</strong>, G. Palumbo, "Power Estimation in Adiabatic Circuits: A Simple and Accurate Model,"<br />

IEEE Trans. on VLSI Systems, vol. 9, no. 5, pp. 608-615, October 2001.<br />

[R7] M. <strong>Alioto</strong>, G. Palumbo, S. Pennisi, "Modeling <strong>of</strong> Source Coupled Logic Gates," International<br />

Journal <strong>of</strong> Circuit Theory and Applications, vol. 30, no. 4, pp. 459-477, 2002.<br />

[R8] M. <strong>Alioto</strong>, G. Di Cataldo, G. Palumbo, "Design <strong>of</strong> Low-Power High-Speed Bipolar Frequency<br />

Dividers," IEE Electronics Letters, vol. 38, no. 4, pp. 158-160, 14 th February 2002.<br />

[R9] M. <strong>Alioto</strong>, G. Palumbo, "NAND/NOR Adiabatic Gates: Power Consumption Evaluation and<br />

Comparison versus the Fan-In,” IEEE Trans. on CAS part I, vol. 49, no. 9, pp. 1253-1262,<br />

September 2002.<br />

[R10] M. <strong>Alioto</strong>, G. Palumbo, "Optimized Design <strong>of</strong> High Fan-In Multiplexers Using Tri-State Buffers,”<br />

IEEE Trans. on CAS part I, vol. 49, no. 10, pp. 1500-1505, Oct. 2002.<br />

5


<strong>Curriculum</strong> <strong>vitae</strong> <strong>of</strong> pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong>, <strong>Ph</strong>.D.<br />

[R11] M. <strong>Alioto</strong>, G. Palumbo, "Analysis and Comparison on Full Adder Block in Sub-Micron<br />

Technology,” IEEE Trans. on VLSI Systems, vol. 10, no. 6, pp. 806-823, Dec. 2002.<br />

[R12] M. <strong>Alioto</strong>, G. Palumbo, "A Simple Strategy for Optimized Design <strong>of</strong> One-Level Carry-Skip<br />

Adders,” IEEE Trans. on CAS part I, vol. 50, no. 1, pp. 141-148, Jan. 2003.<br />

[R13] M. <strong>Alioto</strong>, G. Palumbo, "Design Strategies for Source Coupled Logic Gates,” IEEE Trans. on CAS<br />

part I, vol. 50, no. 5, pp. 640-654, May 2003.<br />

[R14] M. <strong>Alioto</strong>, R. Mita, G. Palumbo, "Performance Evaluation <strong>of</strong> the Low-Voltage CML D-Latch<br />

Topology,” Integration - The VLSI Journal, Special Issue in Analog and Mixed-Signal IC Design and<br />

Design Methodologies (edited by Francisco V. Fernandez), vol. 36, no. 4, pp. 191-209, Nov. 2003.<br />

[R15] M. <strong>Alioto</strong>, G. Palumbo, M. Poli, "Evaluation <strong>of</strong> Energy Consumption in RC Ladder Circuits Driven<br />

by a Ramp Input,” IEEE Trans. on VLSI Systems, vol. 12, no. 10, pp. 1094-1107, Oct. 2004.<br />

[R16] M. <strong>Alioto</strong>, L. Pancioni, S. Rocchi, V. Vignoli, "Modeling and Evaluation <strong>of</strong> Positive-Feedback<br />

Source-Coupled Logic", IEEE Trans. on CAS – part I, vol. 51, no. 12, pp. 2345-2355, Dec. 2004.<br />

[R17] M. <strong>Alioto</strong>, S. Bernardi, A. Fort, S. Rocchi, V. Vignoli, "An Efficient Implementation <strong>of</strong> PRNGs<br />

Based on the Digital Sawtooth Map", International Journal <strong>of</strong> Circuit Theory and Applications, vol.<br />

32, no. 6, pp. 615-627, Nov./Dec. 2004.<br />

[R18] M. <strong>Alioto</strong>, G. Palumbo, "Power-Delay Optimization <strong>of</strong> D-Latch/MUX Source Coupled Logic<br />

Gates," International Journal <strong>of</strong> Circuit Theory and Applications, vol. 33, no. 1, pp. 65-86, Jan./Feb.<br />

2005.<br />

[R19] M. <strong>Alioto</strong>, G. Palumbo, "Design strategies <strong>of</strong> Cascaded CML Gates," IEEE Transactions on CAS –<br />

part II, vol. 53, no. 2, pp. 85-89, Feb. 2006.<br />

[R20] M. <strong>Alioto</strong>, G. Palumbo, "Modeling and Design Considerations on CML Gates under High-Current<br />

Effects," International Journal <strong>of</strong> Circuit Theory and Applications, vol. 33, no. 6, pp. 503-518,<br />

Nov./Dec. 2005.<br />

[R21] T. Addabbo, M. <strong>Alioto</strong>, A. Fort, S. Rocchi, V. Vignoli, "A Feedback Strategy to Improve the<br />

Entropy <strong>of</strong> a Chaos-Based Random Bit Generator," IEEE Transactions on CAS – Part I, vol. 53, no.<br />

2, pp. 326-337, Feb. 2006.<br />

6


<strong>Curriculum</strong> <strong>vitae</strong> <strong>of</strong> pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong>, <strong>Ph</strong>.D.<br />

[R22] T. Addabbo, M. <strong>Alioto</strong>, A. Fort, S. Rocchi, V. Vignoli, "Low Hardware Complexity PRBGs Based<br />

on a Piecewise-Linear Chaotic Map," IEEE Transactions on CAS – Part II, vol. 53, no. 5, pp. 329-<br />

333, May 2006.<br />

[R23] M. <strong>Alioto</strong>, A. D. Grasso, G. Palumbo, "Design <strong>of</strong> Cascaded ECL Gates with a Power Constraint",<br />

IEE Electronics Letters, vol. 42, no. 4, pp. 211- 212, 16 th February 2006.<br />

[R24] M. <strong>Alioto</strong>, G. Palumbo, M. Poli, "Energy Consumption in RC Tree Circuits", IEEE Trans. on VLSI<br />

Systems, vol. 14, no. 5, pp. 452-461, May 2006.<br />

[R25] T. Addabbo, M. <strong>Alioto</strong>, A. Fort, S. Rocchi, V. Vignoli, "The Digital Tent Map: Performance<br />

Analysis and Optimized Design as a Source <strong>of</strong> Pseudo-Random Bits," IEEE Transactions on<br />

Instrumentation and Measurement, vol. 55, no. 5, pp. 1451-1458, Oct. 2006.<br />

[R26] M. <strong>Alioto</strong>, L. Pancioni, S. Rocchi, V. Vignoli, "Exploiting Hysteresys in MCML Circuits", IEEE<br />

Trans. on Circuits and Systems - part II, vol. 53, no. 11, pp. 1170-1174, Nov. 2006.<br />

[R27] M. <strong>Alioto</strong>, R. Mita, G. Palumbo, "Design <strong>of</strong> High-Speed Power-Efficient MOS Current-Mode Logic<br />

Frequency Dividers", IEEE Trans. on Circuits and Systems - part II, vol. 53, no. 11, pp. 1165-1169,<br />

Nov. 2006.<br />

[R28] M. <strong>Alioto</strong>, G. Palumbo, "Power-Aware Design Techniques for Nanometer MOS Current-Mode<br />

Logic Gates: a Design Framework," IEEE Circuits and Systems Magazine, vol. 6, no. 4, pp. 40-59,<br />

2006.<br />

[R29] M. <strong>Alioto</strong>, G. Palumbo, "Impact <strong>of</strong> Supply Voltage Variations on Full Adder Delay: Analysis and<br />

Comparison," in print on IEEE Trans. on VLSI Systems.<br />

[R30] M. <strong>Alioto</strong>, G. Di Cataldo, G. Palumbo, "Mixed Full Adder Topologies for High-Performance Low-<br />

Power Arithmetic Circuits," in print on Microelectronics Journal.<br />

[R31] T. Addabbo, M. <strong>Alioto</strong>, A. Fort, A. Pasini, S.Rocchi, V. Vignoli, "A Class <strong>of</strong> Maximum-Period<br />

Nonlinear Congruential Generators Derived From The Sawtooth Chaotic Map", accepted to IEEE<br />

Trans. on Circuits and Systems - part I.<br />

[R32] M. <strong>Alioto</strong>, G. Palumbo, "Interconnect-Aware Design <strong>of</strong> Fast Large Fan-In CMOS Multiplexers,"<br />

accepted to IEEE Trans. on Circuits and Systems – part II.<br />

7


<strong>Curriculum</strong> <strong>vitae</strong> <strong>of</strong> pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong>, <strong>Ph</strong>.D.<br />

International conferences<br />

[C1] M. <strong>Alioto</strong>, G. Palumbo, "Novel Simple Models <strong>of</strong> CML Propagation Delay", IEEE Proc. GLS<br />

VLSI'98, Lafayette, pp. 270-274, February 1998.<br />

[C2] M. <strong>Alioto</strong>, G. Di Cataldo, G. Palumbo, "General and Simple Model <strong>of</strong> the Propagation Delay <strong>of</strong><br />

Emitter Follower Stage", Proc. <strong>of</strong> MIXDES'98, Lodz (Poland), pp. 121-124, June 1998.<br />

[C3] M. <strong>Alioto</strong>, G. Palumbo, "Design <strong>of</strong> CML Gate with the Best Propagation Delay", Proc. <strong>of</strong> ICECS'98,<br />

Lisboa (Portugal), pp. 287-290, September 1998.<br />

[C4] M. <strong>Alioto</strong>, G. Palumbo, "Adiabatic Gates: a Critical Point <strong>of</strong> View", Proc. <strong>of</strong> ECCTD'99, Stresa, pp.<br />

129-132, September 1999.<br />

[C5] M. <strong>Alioto</strong>, G. Palumbo, "Comparison on CMOS Full Adders in Different Design Styles with<br />

Emphasis on Low-Power Topologies", Proc. <strong>of</strong> ECCTD'99, Stresa, pp. 519-522, September 1999.<br />

[C6] M. <strong>Alioto</strong>, G. Palumbo, "High-Speed Bipolar MUX Modeling and Design", Proc. <strong>of</strong> ISCAS2000,<br />

Geneva, pp. V/1-4, May 2000.<br />

[C7] M. <strong>Alioto</strong>, G. Palumbo, "Evaluation <strong>of</strong> Power Consumption in Adiabatic Circuits", Proc. <strong>of</strong><br />

ISCAS2000, Geneva, pp. II/629-632, May 2000.<br />

[C8] M. <strong>Alioto</strong>, G. Palumbo, "Modeling <strong>of</strong> Power Consumption <strong>of</strong> Adiabatic Gates versus Fan In and<br />

Comparison with Conventional Gates", Proc. <strong>of</strong> PATMOS2000, Gottingen, pp. 265-275, September<br />

2000.<br />

[C9] M. <strong>Alioto</strong>, G. Di Cataldo, G. Palumbo, "CML Ring Oscillators: Oscillation Frequency", Proc. <strong>of</strong><br />

ISCAS2001, Sydney, pp. IV/112-115, May 2001.<br />

[C10] M. <strong>Alioto</strong>, G. Palumbo, S. Pennisi, "Predicting Propagation Delay in SCL Gates", Proc. <strong>of</strong><br />

ECCTD’01, Espoo (Finland), pp. III/209-212, August 2001.<br />

[C11] M. <strong>Alioto</strong>, G. Palumbo, "Optimized Design <strong>of</strong> Carry-Bypass Adders", Proc. <strong>of</strong> ECCTD’01, Espoo<br />

(Finland), pp. II/245-248, August 2001.<br />

[C12] M. <strong>Alioto</strong>, G. Palumbo, "Optimized Design <strong>of</strong> High Fan-in Multiplexers Using Switches with<br />

Driving Capability", Proc. <strong>of</strong> ICECS’01, Malta, pp. 737-740, September 2001.<br />

8


<strong>Curriculum</strong> <strong>vitae</strong> <strong>of</strong> pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong>, <strong>Ph</strong>.D.<br />

[C13] M. <strong>Alioto</strong>, G. Palumbo, S. Pennisi, "Delay Estimation <strong>of</strong> SCL Gates with Output Buffer", Proc. <strong>of</strong><br />

ICECS’01, Malta, pp. 719-722, September 2001.<br />

[C14] M. <strong>Alioto</strong>, G. Palumbo, "Power-Delay Trade-<strong>of</strong>fs in SCL Gates", Proc. <strong>of</strong> ISCAS2002, <strong>Ph</strong>oenix<br />

(USA), pp. III/249-252, May 2002.<br />

[C15] M. <strong>Alioto</strong>, G. Palumbo, M. Poli, "An Approach to Energy Consumption Modeling in RC Ladder<br />

Circuits", Proc. <strong>of</strong> PATMOS 2002, Sevilla (Spain), pp. 239-246, Sept. 2002.<br />

[C16] M. <strong>Alioto</strong>, G. Palumbo, "Modeling Propagation Delay <strong>of</strong> MUX, XOR and D-Latch Source-Coupled<br />

Logic Gates", Proc. <strong>of</strong> PATMOS 2002, Sevilla (Spain), pp. 429-437, Sept. 2002.<br />

[C17] M. <strong>Alioto</strong>, G. Di Cataldo, G. Palumbo, "Design Guidelines for Bipolar Frequency Dividers", Proc.<br />

<strong>of</strong> ICECS 2002, pp. 521-524, Dubrovnik (Croatia), September 2002.<br />

[C18] M. <strong>Alioto</strong>, R. Mita, G. Palumbo, "Analysis and Comparison <strong>of</strong> Low-Voltage CML D-Latch", Proc.<br />

<strong>of</strong> ICECS2002, pp. 737-740, Dubrovnik (Croatia), September 2002.<br />

[C19] M. <strong>Alioto</strong>, G. Palumbo, "Design <strong>of</strong> MUX, XOR and D-Latch SCL gates", Proc. <strong>of</strong> ISCAS2003,<br />

Bangkok (Thailand), pp. V/261-264, May 2003.<br />

[C20] M. <strong>Alioto</strong>, S. Bernardi, A. Fort, S. Rocchi – V. Vignoli, "On the Suitability <strong>of</strong> Digital Maps for<br />

Integrated Pseudo-RNGs", Proc. <strong>of</strong> ECCTD2003, pp. III/349-352, Krakòw (Poland), Sept. 2003.<br />

[C21] M. <strong>Alioto</strong>, G. Palumbo, "Mixed Logic Styles for High-Speed Low-Power Arithmetic Circuits",<br />

Proc. <strong>of</strong> ECCTD2003, pp. II/101-104, Krakòw (Poland), Sept. 2003.<br />

[C22] M. <strong>Alioto</strong>, S. Bernardi, A. Fort, S. Rocchi, V. Vignoli, "Analysis and Design <strong>of</strong> Digital PRNGs<br />

Based on the Discretized Sawtooth Map", Proc. <strong>of</strong> ICECS2003, pp. 427-430, Sharjah (United Arab<br />

Emirates), Dec. 2003.<br />

[C23] M. <strong>Alioto</strong>, G. Palumbo, M. Poli, "A Gate-Level Strategy to Design Carry Select Adders", Proc. <strong>of</strong><br />

ISCAS2004, pp. II/465-468, Vancouver (Canada), May 2004.<br />

[C24] M. <strong>Alioto</strong>, A. Fort, L. Pancioni, S. Rocchi, V. Vignoli, "Positive-Feedback Source-Coupled Logic:<br />

a Delay Model", Proc. <strong>of</strong> ISCAS2004, pp. II/641-644, Vancouver (Canada), May 2004.<br />

9


<strong>Curriculum</strong> <strong>vitae</strong> <strong>of</strong> pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong>, <strong>Ph</strong>.D.<br />

[C25] T. Addabbo, M. <strong>Alioto</strong>, S. Bernardi, A. Fort, S. Rocchi, V. Vignoli, "The Digital Tent Map:<br />

Performance Analysis and Optimized Design as a Source <strong>of</strong> Pseudo-Random Bits", Proc. <strong>of</strong><br />

IMTC2004, pp. 1301-1304, Como (Italy), May 2004.<br />

[C26] M. <strong>Alioto</strong>, G. Palumbo, M. Poli, "A Simple Model <strong>of</strong> Energy Consumption for RC Ladder Networks<br />

Driven by an Exponential Input", Proc. <strong>of</strong> MIXDES2004, pp. 315-319, Szczecin (Poland), June 2004.<br />

[C27] M. <strong>Alioto</strong>, G. Palumbo, "A Delay Model Of CML Gates Valid under High-Current Effects", Proc. <strong>of</strong><br />

MWSCAS2003, pp. 1556- 1559, Cairo (Egypt), Dec. 2003.<br />

[C28] T. Addabbo, M. <strong>Alioto</strong>, S. Bernardi, A. Fort, S. Rocchi, V. Vignoli, "Hardware-Efficient PRBGs<br />

Based on 1-D Piecewise Linear Chaotic Maps", Proc. <strong>of</strong> ICECS2004, pp. 242-245, Tel Aviv (Israel),<br />

Dec. 2004.<br />

[C29] M. <strong>Alioto</strong>, G. Palumbo, "Design Techniques for Low-Power Cascaded CML Gates", Proc. <strong>of</strong><br />

ISCAS2005, pp. 4685-4688, Kobe (Japan), May 2005.<br />

[C30] M. <strong>Alioto</strong>, A. Fort, L. Pancioni, S. Rocchi, V. Vignoli, "An Approach to the Design <strong>of</strong> PFSCL<br />

Gates", Proc. <strong>of</strong> ISCAS2005, pp. 2437-2440, Kobe (Japan), May 2005.<br />

[C31] T. Addabbo, M. <strong>Alioto</strong>, A. Fort, S. Rocchi, V. Vignoli, "Long Period Pseudo Random Bit<br />

Generators Derived from a Discretized Chaotic Map", Proc. <strong>of</strong> ISCAS2005, pp. 892-895, Kobe<br />

(Japan), May 2005.<br />

[C32] M. <strong>Alioto</strong>, G. Palumbo, M. Poli , "Energy Consumption in RC Tree Circuits with Exponential<br />

Inputs: an Analytical Model", Proc. <strong>of</strong> PATMOS 2005, pp. 355-363, Leuven (Belgium), Sept. 2005.<br />

[C33] T. Addabbo, M. <strong>Alioto</strong>, A. Fort, S. Rocchi, V. Vignoli, "Uniform-Distributed Noise Generator<br />

Based on a Chaotic Circuit", Proc <strong>of</strong> IMTC 2006, pp. 1156-1160, Sorrento (Italy), April 2006.<br />

[C34] M. <strong>Alioto</strong>, G. Palumbo, "Delay Uncertainty Due to Supply Variations in Static and Dynamic Full<br />

Adders", Proc. <strong>of</strong> ISCAS 2006, pp. 767-770, Kos (Greece), May 2006.<br />

[C35] M. <strong>Alioto</strong>, G. Palumbo, "Nanometer MCML Gates: Models and Design Considerations", Proc. <strong>of</strong><br />

ISCAS 2006, pp. 3862-3865, Kos (Greece), May 2006.<br />

[C36] M. <strong>Alioto</strong>, L. Pancioni, S. Rocchi, V. Vignoli, "Analysis and Design <strong>of</strong> MCML Gates with<br />

Hysteresis", Proc. <strong>of</strong> ISCAS 2006, pp. 1263-1266, Kos (Greece), May 2006.<br />

10


<strong>Curriculum</strong> <strong>vitae</strong> <strong>of</strong> pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong>, <strong>Ph</strong>.D.<br />

[C37] M. <strong>Alioto</strong>, G. Palumbo, M. Poli, "Efficient Output Transition Time Modeling in CMOS Gates with<br />

Ramp/Exponential Inputs", Proc. <strong>of</strong> ISCAS 2006, pp. 5127-5130, Kos (Greece), May 2006.<br />

[C38] T. Addabbo, M. <strong>Alioto</strong>, A. Fort, S. Rocchi, V. Vignoli, "A Technique to Design High Entropy<br />

Chaos-Based True Random Bit Generators", Proc. <strong>of</strong> ISCAS 2006, pp. 1183-1186, Kos (Greece), May<br />

2006.<br />

[C39] M. <strong>Alioto</strong>, A. D. Grasso, G. Palumbo, "Design <strong>of</strong> Cascaded ECL Gates with a Power Constraint",<br />

Proc. <strong>of</strong> PRIME 2006, pp. 233-236, Otranto (Italy), June 2006.<br />

[C40] M. <strong>Alioto</strong>, M. Poli, S. Rocchi, V. Vignoli, "Power Modeling <strong>of</strong> Precharged Address Bus and<br />

Application to Multi-bit DPA Attacks to DES Algorithm", Proc. <strong>of</strong> PATMOS 2006, pp. 593-602,<br />

Montpellier (France), Sept. 2006.<br />

[C41] M. <strong>Alioto</strong>, M. Poli, S. Rocchi, V. Vignoli, "Techniques to Enhance the Resistance <strong>of</strong> Precharged<br />

Busses to Differential Power Analysis", Proc. <strong>of</strong> PATMOS 2006, pp. 624-633, Montpellier (France),<br />

Sept. 2006.<br />

[C42*] T. Addabbo, M. <strong>Alioto</strong>, A. Fort, S. Rocchi, V. Vignoli, "Entropy Enhancement in a Chaos-Based<br />

True Random Bit Generators", Proc. <strong>of</strong> NOLTA 2006, pp. 372-378, Bologna (Italy), Sept. 2006.<br />

[C43*] M. <strong>Alioto</strong>, R. Mita, G. Palumbo, "A Design Methodology for High-Speed Low-Power MCML<br />

Frequency Dividers", Proc. <strong>of</strong> ICECS2006, pp. 1308-1311, Nice (France), Dec. 2006.<br />

[C44*] M. <strong>Alioto</strong>, G. Palumbo, "Modeling <strong>of</strong> Delay Variability due to Supply Variations in Pass-Transistor<br />

and Static Full Adders", Proc. <strong>of</strong> ICECS2006, pp. 518-521, Nice (France), Dec. 2006.<br />

[C45*] T. Addabbo, M. <strong>Alioto</strong>, A. Fort, S. Rocchi, V. Vignoli, "Efficient Post-Processing Module for a<br />

Chaos-based Random Bit Generator ", accepted to ICECS2006, Nice (France), Dec. 2006.<br />

[C46*] T. Addabbo, M. <strong>Alioto</strong>, A. Fort, M. Mugnaini, S. Rocchi, V. Vignoli, "Implementation-Efficient<br />

Maximum-Period Nonlinear Congruential Generators", accepted to IMTC 2007, Warsaw (Poland),<br />

May. 2007.<br />

National journals<br />

[I1] M. <strong>Alioto</strong>, G. Palumbo, C. Strano, "Circuiti adiabatici: per minimizzare la dissipazione di potenza",<br />

Automazione e Strumentazione - Elettronica Industriale, No. 3, pag. 49-54, marzo 1998.<br />

11


<strong>Curriculum</strong> <strong>vitae</strong> <strong>of</strong> pr<strong>of</strong>. <strong>Massimo</strong> <strong>Bruno</strong> <strong>Cristiano</strong> <strong>Alioto</strong>, <strong>Ph</strong>.D.<br />

[I2] M. <strong>Alioto</strong>, G. Palumbo, "Famiglie logiche bipolari ECL E CML", Automazione e Strumentazione -<br />

Elettronica Industriale, No. 9, pag. 49-56, ottobre 1998.<br />

[I3] M. <strong>Alioto</strong>, R. Mita, G. Palumbo, "Memorie ferroelettriche", Automazione e Strumentazione -<br />

Elettronica Industriale, pag. 55-58, Maggio 2002.<br />

12

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!