29.03.2013 Views

High transmission EUVL pellicle development - Sematech

High transmission EUVL pellicle development - Sematech

High transmission EUVL pellicle development - Sematech

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>High</strong> <strong>transmission</strong> <strong>EUVL</strong><br />

<strong>pellicle</strong> <strong>development</strong><br />

Yashesh A. Shroff, Pei-Yang Yan,<br />

Farhad Salamassi*, Eric Gullikson*<br />

2008 <strong>EUVL</strong> Symposium, Lake Tahoe<br />

Intel Corporation<br />

*Lawrence Berkeley Lab


Overview<br />

• Motivation &<br />

specs<br />

• Thermal modeling<br />

• <strong>High</strong> <strong>transmission</strong> prototype <strong>development</strong><br />

– Illumination non-uniformity<br />

• Full-scale <strong>pellicle</strong> HVM mechanical test<br />

• DUV/AIMS study<br />

• Summary &<br />

future work<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 2


Concept<br />

Square cell mesh<br />

Hexagonal cell mesh<br />

•The Concept<br />

– Thin film mounted on a wire-mesh<br />

– Mesh located “far” from reticle plane to defocus defects<br />

– Transmission requires a high percentage open area<br />

– Illumination uniformity requires partial coherence<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

Stand-off height = 6 mm<br />

Mesh pitch<br />

• Mesh apodizes<br />

the illuminator<br />

exit pupil and<br />

PO entrance<br />

pupil.<br />

• Mesh film<br />

absorbs with<br />

both passes.<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 3


Motivation & Goal<br />

• The The aim aim of of this this research is is to to<br />

create create an an EUV EUV <strong>pellicle</strong> as as a<br />

backup to to <strong>pellicle</strong>-less operation<br />

– Operating Operating within within litho litho tool tool<br />

without without added added particles particles<br />

• Mesh Mesh based based <strong>pellicle</strong>’s target target<br />

performance:<br />

– EUV EUV <strong>transmission</strong>: <strong>transmission</strong>: double-pass<br />

double-pass<br />

loss loss < 30% 30%<br />

– Robustness: Robustness: HVM HVM capable capable<br />

operation operation<br />

– Imaging: Imaging: minimal minimal contrast contrast and and<br />

uniformity uniformity loss loss<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

EUV Pellicle Specifications<br />

Frame<br />

length/width<br />

Height 5 mm<br />

149/122mm<br />

Transmission >70%<br />

Film thickness 50nm ± 2nm<br />

Illumination<br />

non-uniformity<br />

±0.6%<br />

Film stress Tensile ( 2000<br />

Stiffness 193nm frame<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 4


Overview<br />

• Motivation &<br />

specs<br />

• Thermal modeling<br />

• <strong>High</strong> <strong>transmission</strong> prototype <strong>development</strong><br />

– Illumination non-uniformity<br />

• Full-scale <strong>pellicle</strong> HVM mechanical test<br />

• DUV/AIMS study<br />

• Summary &<br />

future work<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 5


Thermal model<br />

Pellicle<br />

(25% EUV absorbed)<br />

Entrance<br />

Plane of PO<br />

Reticle: 5-20W*<br />

• Instantaneous power at slit can result in high thermal load.<br />

• Transient model is developed to calculate peak rise in <strong>pellicle</strong><br />

temperature<br />

* OoB power & IU <strong>transmission</strong> of EUV + OoB spectrum dependent<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

Illuminator<br />

exit plane<br />

IF:<br />

180W<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 6


Cooling time<br />

Membrane Parameters:<br />

• Pellicle thickness, d = 50nm<br />

• Exposure slit area, A = 10cm 2<br />

• Exposure slit time, t = 10ms<br />

Constants<br />

• Si specific heat, c = 0.7J/g-k<br />

• Emissivity, ε<br />

= 0.5<br />

• Radiative loss, σ = 5.67e-12<br />

• Si density, ρ<br />

= 2.33g/cm3<br />

ΔT=0 cooling time (ms)<br />

Pellicle temp stabilization v/s input radiation<br />

40<br />

800<br />

0<br />

0 5 10 15 20 25<br />

Reticle incident power (W)<br />

0<br />

Q= c⋅m⋅ΔT<br />

∂Q<br />

= A⋅ε<br />

⋅σ⋅<br />

dt<br />

4 4 ( T − )<br />

env TSi<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

35<br />

30<br />

25<br />

20<br />

15<br />

10<br />

5<br />

∂T ⎛ A⋅ε<br />

⋅σ⎞<br />

= ⎜−<br />

⎟T<br />

dt ⎝ c⋅m<br />

⎠<br />

Assumes 25% reticle incident power absorption by <strong>pellicle</strong><br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 7<br />

Si<br />

4<br />

700<br />

600<br />

500<br />

400<br />

300<br />

200<br />

100<br />

ΔT initial temp rise (K)


Overview<br />

• Motivation &<br />

specs<br />

• Thermal modeling<br />

• <strong>High</strong> <strong>transmission</strong> prototype <strong>development</strong><br />

– Illumination non-uniformity<br />

• Full-scale <strong>pellicle</strong> HVM mechanical test<br />

• DUV/AIMS study<br />

• Summary &<br />

future work<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 8


Requirements for reaching <strong>pellicle</strong><br />

<strong>transmission</strong> limits<br />

•GOAL: total 2-pass <strong>transmission</strong>: 70%<br />

– Mesh <strong>transmission</strong>: 97%<br />

– Membrane <strong>transmission</strong>: 93%<br />

•Improving the mesh<br />

– Reduce linewidth: 1-3um<br />

– Increase pitch: 70-100um<br />

•Improving the membrane<br />

– Reliable membrane thickness of 50nm<br />

Lithographically define the line<br />

– Commercially available mesh min LW only ~10um<br />

– Improve robustness by increasing aspect ratio of mesh wires<br />

– Use Si: better thermal stability with membrane<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 9


Pellicle Process at LBNL<br />

1. Spin release layer on Si wafer.<br />

2. Deposit Si + Ru capping layer using<br />

magnetron sputtering.<br />

3. Spin on photoresist.<br />

4. Pattern mesh using contact<br />

lithography.<br />

5. Electroplate Ni mesh.<br />

6. Remove resist.<br />

7. Attach to frame and release from<br />

substrate.<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

Pellicle 50 nm Si with 100<br />

micron pitch and 3 micron<br />

linewidth mesh. Average<br />

<strong>transmission</strong> at 13.5 nm is<br />

75.7%<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 10


Transmission results<br />

Membrane: 50nm Si + 2nm Ru<br />

cap with <strong>transmission</strong> = 84% @<br />

13.5 nm<br />

100 μm<br />

Linewidth Pitch Tmesh<br />

expected<br />

Tmesh<br />

measured<br />

T<strong>pellicle</strong><br />

measured<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

Double-<br />

pass txm<br />

1 μm 35 μm 94% 94.5% 79.5% 63.2%<br />

1 μm 100 μm 98% 97.5% 81.9% 67.1%<br />

No mesh<br />

35 μm<br />

1<br />

0.8<br />

0.6<br />

0.4<br />

0.2<br />

0<br />

-4<br />

-2<br />

mm<br />

0<br />

Measured at LBNL synchtroton (λ=EUV)<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 11<br />

2<br />

100 μm<br />

4 -5<br />

35 μm<br />

0<br />

mm<br />

5<br />

0.8<br />

0.7<br />

0.6<br />

0.5<br />

0.4<br />

0.3<br />

0.2<br />

0.1<br />

0


Impact on reticle plane non-uniformity<br />

•Non-uniformity scales with mesh linewidth. Modeled results:<br />

Mesh1 Mesh2 Mesh3<br />

Linewidth (LW) 10u 3u 1u<br />

Pitch 250u 100u 35u<br />

Non-<br />

uniformity<br />

5mm 1.4% 0.25% 0.08%<br />

2mm 7.4% 0.50 0.14%<br />

Mesh only txm 94% 94% 98%<br />

•Decreasing stand-off distance dramatically impacts uniformity<br />

due to the severely increased apodization of the pupil by the<br />

mesh<br />

•We recommend minimum stand-off distance of 5mm,<br />

consistent with current DUV technologies.<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

Pitch<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 12


Pellicle <strong>transmission</strong> improvement<br />

1<br />

0.9<br />

0.8<br />

0.7<br />

0.6<br />

0.5<br />

0.4<br />

0.3<br />

80nm Si membrane on 10/250um mesh<br />

2007<br />

2008<br />

0.2<br />

11 11.5 12 12.5 13 13.5 14 14.5 15<br />

wavelength (nm)<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

Silicon deposition process<br />

improvement:<br />

- 6% increase in 1-pass<br />

<strong>transmission</strong><br />

- Measurement at LBNL<br />

synchrotron<br />

- Mesh linewidth/pitch:<br />

10μm / 250μm<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 13


Overview<br />

• Motivation &<br />

specs<br />

• Thermal modeling<br />

• <strong>High</strong> <strong>transmission</strong> prototype <strong>development</strong><br />

– Illumination non-uniformity<br />

• Full-scale <strong>pellicle</strong> HVM mechanical test<br />

• DUV/AIMS study<br />

• Summary &<br />

future work<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 14


Full-size <strong>pellicle</strong> <strong>development</strong><br />

• Lowest Si membrane thickness<br />

achieved: 70nm<br />

– Corresponds to 85% EUV txm<br />

• Mesh properties:<br />

– Linewidth: 10um<br />

– Pitch: 250um<br />

– Transmission: 91%<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 15


Full-size <strong>pellicle</strong> scan test<br />

Mechanical stability test to<br />

verify robustness.<br />

Repeated 6g acceleration, 2m/s scan<br />

velocity expected in 180WPH HVM<br />

system.<br />

• Vacuum environment chamber<br />

• Track length 75mm<br />

• 50min test, ramping from 1G6G<br />

– Continuous scan for 10’ at 6G,<br />

limited by motor reliability<br />

concerns<br />

• Full-size <strong>pellicle</strong>s tested:<br />

– 70nm membrane<br />

– 100nm membrane<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 16


position (mm)<br />

80<br />

60<br />

40<br />

20<br />

0<br />

-20<br />

-40<br />

-60<br />

Scan profile<br />

Position v/s time of <strong>pellicle</strong> scan<br />

forward scan<br />

reverse scan<br />

-80<br />

0 0.05 0.1 0.15<br />

time (sec)<br />

0.2 0.25 0.3<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

scan velocity (m/s)<br />

4<br />

3<br />

2<br />

1<br />

0<br />

-1<br />

-2<br />

Velocity v/s time of <strong>pellicle</strong> scan<br />

forward scan<br />

reverse scan<br />

-3<br />

0 0.05 0.1 0.15<br />

time (sec)<br />

0.2 0.25 0.3<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 17


Scan test: 6G, continuous (100nm membrane on<br />

10um linewidth / 250μm pitch mesh)<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 18


Scan test: 6G with 500ms pause every cycle<br />

• Two <strong>pellicle</strong>s are tested: 70nm and 100nm membranes with<br />

10/250um (LW/pitch) supporting mesh.<br />

• Vacuum environmental chamber was kept at 70mT pressure<br />

• Reticle plate was not used (load concerns)<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

Accelerometer<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 19


Overview<br />

• Motivation &<br />

specs<br />

• Thermal modeling<br />

• <strong>High</strong> <strong>transmission</strong> prototype <strong>development</strong><br />

• Illumination non-uniformity<br />

• Full-scale <strong>pellicle</strong> HVM mechanical test<br />

• DUV/AIMS study<br />

• Summary &<br />

future work<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 20


DUV AIMS test<br />

• 150nm 1:1 features exposed with 248nm wavelength<br />

AIMS tool.<br />

• Mesh placed 2mm above the reticle plane<br />

• CD variation is within measurement error of tool<br />

– Impact of <strong>pellicle</strong> on CD needs to be ascertained w/ a<br />

higher resolution tool.<br />

• Illumination conditions: σ<br />

CD (nm)<br />

140<br />

120<br />

100<br />

80<br />

60<br />

40<br />

20<br />

0<br />

Average linewidth<br />

~Pellicle wire<br />

location<br />

= 0.6; NA = 0.68<br />

0 20 40 60 80 100 120 140<br />

Field position (um)<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

Field position<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 21


Summary<br />

• Full-size <strong>pellicle</strong>s fabricated with membrane thickness of<br />

70%. Expected <strong>transmission</strong> is 61% double pass<br />

• New process developed to achieve near-best <strong>transmission</strong> of<br />

67% on small-size samples with tensile membrane stress.<br />

• Thermal model completed & shows that CTE compatible mesh<br />

+ Si membrane is necessary to avoid differential thermal<br />

expansion related issues.<br />

• Scan test with in-house scanner shows <strong>pellicle</strong> robustness at<br />

repeated 6G acceleration with peak velocities of 2m/s.<br />

Future work<br />

• Vibration test to satisfy zero-particle adder criteria<br />

• Full-scale <strong>pellicle</strong> for 70% double-pass txm<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 22


Acknowledgements<br />

•Bob Gunion and Paul Denham (LBNL) for writing very<br />

challenging device drivers for the scan test motor and flexible<br />

UI and device setup<br />

•Armando Cobarrubia (Intel), for SEM support<br />

•Guojing Zhang and Kangmin Hsia (Intel), for IMO support<br />

•Luxel Corp (OR) for fabricating full-size mesh<br />

•Committed support from senior management at Intel for<br />

funding and providing resources for the project.<br />

"<strong>EUVL</strong> Pellicle Development", Yashesh Shroff, Pei-Yang Yan, F. Salamassi, Eric Gullickson<br />

<strong>EUVL</strong> Symposium 2008, Lake Tahoe 23

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!