29.03.2013 Views

gate-all-around silicon nanowires for hybrid single electron ...

gate-all-around silicon nanowires for hybrid single electron ...

gate-all-around silicon nanowires for hybrid single electron ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Gate-<strong>all</strong>-<strong>around</strong> Silicon nanowireS <strong>for</strong> Hybrid<br />

SinGle <strong>electron</strong> tranSiStor/cMoS applicationS<br />

THÈSE N O 3983 (2008)<br />

PRÉSENTÉE LE 18 JANVIER 2008<br />

À LA FACULTÉ DES SCIENCES ET TECHNIQUES DE L'INGÉNIEUR<br />

LABORATOIRE D'ÉLECTRONIQUE GÉNÉRALE 2<br />

SECTION DE GÉNIE ÉLECTRIQUE ET ÉLECTRONIQUE<br />

ÉCOLE POLYTECHNIQUE FÉDÉRALE DE LAUSANNE<br />

POUR L'OBTENTION DU GRADE DE DOCTEUR ÈS SCIENCES<br />

PAR<br />

Vincent POTT<br />

ingénieur en microtechnique diplômé EPF<br />

de nationalité suisse et originaire de Mollens (VS)<br />

acceptée sur proposition du jury:<br />

Prof. J. R. Mosig, président du jury<br />

Prof. M. A. Ionescu, directeur de thèse<br />

Prof. G. De Micheli, rapporteur<br />

Prof. S. Mantl, rapporteur<br />

Dr M.-N. Séméria, rapporteur<br />

Lausanne, EPFL<br />

2007


REMERCIEMENTS<br />

Un travail de thèse représente un ef<strong>for</strong>t d’équipe. Un dispositif intégré avec succès, un<br />

doute levé ou une analyse pertinente, tout cela fait partie de la synthèse demandée à un<br />

doctorant et résumée dans ce manuscrit. Les résultats présentés n’auraient pas été<br />

possibles sans le soutien de mes collègues, de mes amis et de ma famille.<br />

Je tiens en premier à adresser mes remerciements les plus sincères à mon directeur de<br />

thèse, le Prof. Adrian M. Ionescu, pour son support, ses encouragements et son<br />

enthousiasme. C’est avec lui que j’ai eu la chance de découvrir le monde de la recherche<br />

scientifique.<br />

Je souhaite aussi adresser ma reconnaissance aux membres du jury de thèse, et en<br />

premier à son président, le Prof. Juan Mosig. Je souhaite aussi souligner les remarques<br />

pertinentes des rapporteurs, qui ont permis d’améliorer la consistance de ce travail. Ma<br />

gratitude s’adresse au Dr Marie-Noëlle Séméria du CEA-Grenoble, au Prof. Siegfried<br />

Mantl du Forschungszentrum-Jülich et au Prof. Giovanni de Micheli de l’EPFL.<br />

Au sein du laboratoire d’électronique générale, j’ai bénéficié de l’aide du Dr Didier<br />

Bouvet. Je lui dois de précieux conseils, j’ai toujours apprécié son pragmatisme et il m’a<br />

beaucoup appris en microtechnologie. Je souhaite aussi adresser ma gratitude à Kirsten<br />

Moselund pour de nombreuses discussions sur la technologie nano-fil, ainsi que pour sa<br />

gentillesse, sa générosité et sa rigueur scientifique.<br />

Ma reconnaissance s’adresse également à Michaël Pavius du Centre de Micro et<br />

Nanotechnologie de l’EPFL. C’est par sa compétence et sa disponibilité que toutes les<br />

coupes FIB et les lamelles TEM ont été réalisées avec succès. Et au-delà de ses<br />

compétences, je veux aussi lui dire merci pour son amitié. Au Centre de Micro et<br />

Nanotechnologie, j’ai pu également bénéficier du support inconditionnel du Dr Philippe<br />

Flückiger et de toute son équipe.<br />

Dans mon laboratoire, j’ai toujours pu compter sur le support de mes collègues. Je suis<br />

plus particulièrement reconnaissant au Dr Paul Salet pour la relecture du manuscrit de<br />

thèse, ainsi qu’à Dimitrios Tsamados, Marco Mazza et Paolo Dainesi pour leurs<br />

remarques. Je tiens aussi à adresser un clin d’oeil à mon ancien collègue Serge Ecoffey<br />

pour sa collaboration en s<strong>all</strong>e blanche. Je veux enfin remercier Santanu Mahapatra et<br />

Daniel Grogg, pour avoir successivement partagé le bureau ELB240 avec moi.<br />

L’organisation du laboratoire d’électronique générale fut durant ces années excellente. Je<br />

la dois notamment à Joseph Guzzardi pour l’in<strong>for</strong>matique et à Roland Jaques pour les<br />

appareils de caractérisation. Ce laboratoire vit aussi grâce au sourire et à l’efficacité de<br />

ses secrétaires Danièle, Isabelle et Karin. Enfin, merci à Marc Pastre et à Danica<br />

Stefanovic pour leurs conseils de rédaction et de mise-en-page de ce travail.<br />

A l’EPFL, j’ai eu la chance de collaborer avec les groupes du Prof. Jürgen Brugger et du<br />

Prof. Yusuf Leblebici. Je me dois aussi de remercier le Dr Marco Cantoni pour<br />

l’observation d’images TEM et le Dr Pavel Kejik pour le bonding de circuits.<br />

Par nos projets de recherche à l’échelle européenne, j’ai également bénéficié du support<br />

du groupe du Prof. Dieter Kern de l’Université de Tübingen pour des étapes de<br />

technologie, des mesures de microscopie Raman par le Dr Sarah Olsen de l’Université de<br />

Newcastle et de discussions enrichissantes en physique quantique avec le Prof. Jean-<br />

Pierre Colinge de l’Université de Tynd<strong>all</strong> en Irlande.<br />

Enfin, sans le support de mes amis, cette thèse n’aurait pas été possible. Je citerais<br />

Gonzague, Nicolas, François et Mélanie. Artur, je te dois aussi beaucoup, et en<br />

particulier ta bonne humeur!<br />

Je veux aussi noter les encouragements sans faille de ma famille, et plus spécialement de<br />

ma tante Laurence Ulrich et de mon oncle Jean-Marc Bornet. Pour finir, je souhaiterais<br />

adresser ma reconnaissance à mon frère Julien et à mes parents Anne et Guy, pour<br />

m’avoir guidé et soutenu depuis toujours.


Table of contents 1<br />

TABLE OF CONTENTS<br />

Table of contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1<br />

Fundings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3<br />

Abstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5<br />

Résumé. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7<br />

List of acronyms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9<br />

Chapter 1: Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11<br />

1.1 Past, present and future . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12<br />

1.2 The EPFL <strong>hybrid</strong> NANO-CMOS vision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13<br />

1.3 CMOS scaling and nano-scale CMOS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14<br />

1.3.1 The MOS transistor: a short overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14<br />

1.3.2 Short CMOS history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16<br />

1.3.3 Moore’s law and scaling rules. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17<br />

1.3.4 Nano-scale CMOS: problems and solutions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19<br />

1.4 Tri-dimensional MOSFET structures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27<br />

1.4.1 Silicon-on-insulator (SOI). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27<br />

1.4.2 Double-<strong>gate</strong>, FinFET and Silicon-on-Nothing . . . . . . . . . . . . . . . . . . . . . . . . . . . 28<br />

1.4.3 The vertical MOSFET. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29<br />

1.4.4 Tri-Gate, Pi-Gate and Omega-Gate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30<br />

1.4.5 The <strong>silicon</strong> nanowire <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> architecture . . . . . . . . . . . . . . . . . . . . . . . . 31<br />

1.4.6 The tunnel FET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32<br />

1.4.7 Tri-dimensional devices summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32<br />

1.5 Technology <strong>hybrid</strong>ization with emerging devices . . . . . . . . . . . . . . . . . . . . . . . . . . . 33<br />

1.5.1 What makes a logic family efficient? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34<br />

1.5.2 The <strong>single</strong> <strong>electron</strong> transistor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36<br />

1.5.3 Multiple-island <strong>single</strong> <strong>electron</strong> devices. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41<br />

1.5.4 Resonant tunneling devices. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42<br />

1.5.5 Carbon nanotubes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42<br />

1.5.6 1D <strong>nanowires</strong> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44<br />

1.5.7 Macro-molecular devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45<br />

1.5.8 Spintronics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46<br />

1.5.9 Ferromagnetic logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46<br />

1.5.10 Devices comparison . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46<br />

1.5.11 Circuits and systems <strong>hybrid</strong>ization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47<br />

1.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49<br />

1.6.1 Synopsis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49<br />

1.7 Bibliography. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50<br />

Chapter 2: Silicon nanowire <strong>for</strong> MOS/SET combined functionality . . . . . . . 59<br />

2.1 Bridge the gap with the <strong>single</strong> <strong>electron</strong> transistor . . . . . . . . . . . . . . . . . . . . . . . . . . . 60<br />

2.2 The cryst<strong>all</strong>ine <strong>silicon</strong> SET: geometry and architecture . . . . . . . . . . . . . . . . . . . . . . 61<br />

2.2.1 Pattern dependent oxidation of SOI nano-structures . . . . . . . . . . . . . . . . . . . . . . 61<br />

2.2.2 Coulomb Blockade in MOS transistors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64<br />

2.2.3 Highly doped <strong>silicon</strong> <strong>nanowires</strong> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65<br />

2.2.4 Electric<strong>all</strong>y induced SET. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66<br />

2.2.5 Gate-<strong>all</strong>-<strong>around</strong> <strong>silicon</strong> <strong>nanowires</strong> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67<br />

2.2.6 Other <strong>silicon</strong> SET integration technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67<br />

2.3 Silicon nanowire devices comparison . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68<br />

2.4 Finite element analysis of <strong>silicon</strong> <strong>nanowires</strong> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69<br />

2.4.1 Corner effect in triangular cross-section devices . . . . . . . . . . . . . . . . . . . . . . . . . 69<br />

2.4.2 Density-Gradient simulation of circular <strong>nanowires</strong> . . . . . . . . . . . . . . . . . . . . . . . 72<br />

2.5 The SET-NEMS architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74


2 Table of contents<br />

2.6 Summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .76<br />

2.6.1 Synopsis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76<br />

2.7 Bibliography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .77<br />

Chapter 3: Hybrid SET/MOS technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79<br />

3.1 The sm<strong>all</strong>er, the better . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .80<br />

3.2 Lateral Pattern Definition (LPD) technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .81<br />

3.2.1 Poly<strong>silicon</strong> oxidation LPD process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83<br />

3.2.2 Silicon oxidation LPD process. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84<br />

3.2.3 Nitride LPD <strong>nanowires</strong> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88<br />

3.3 Focused ion beam prototyping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .90<br />

3.3.1 Introduction to focused ion beam. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90<br />

3.3.2 FIB prototyping examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90<br />

3.3.3 SOI direct milling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91<br />

3.3.4 Resist milling and pattern transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94<br />

3.3.5 Non FIB-cut devices measurement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95<br />

3.3.6 FIB-cut <strong>silicon</strong> nanowire measurement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96<br />

3.3.7 FIB milling perspectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96<br />

3.4 Gate-<strong>all</strong>-<strong>around</strong> <strong>nanowires</strong> on bulk <strong>silicon</strong>. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .97<br />

3.4.1 Device fabrication and morphology. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97<br />

3.4.2 TEM observation and cross-section shape. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100<br />

3.4.3 The implant and anneal matter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102<br />

3.5 Gate-<strong>all</strong>-<strong>around</strong> SOI devices. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .103<br />

3.5.1 SOI wire <strong>for</strong>mation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103<br />

3.5.2 Gate stack <strong>for</strong>mation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105<br />

3.5.3 Rapid thermal annealing qualification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106<br />

3.6 Process scalability. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .107<br />

3.7 Summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .108<br />

3.7.1 Synopsis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108<br />

3.8 Bibliography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .109<br />

Chapter 4: Characterization and analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . 111<br />

4.1 The probe setup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .112<br />

4.2 Gate-<strong>all</strong>-<strong>around</strong> MOS characterization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .113<br />

4.2.1 Room temperature characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113<br />

4.2.2 Temperature dependent characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116<br />

4.2.3 Mobility extraction and analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117<br />

4.2.4 Enhanced carrier mobility due to PADOX strain . . . . . . . . . . . . . . . . . . . . . . . 118<br />

4.3 Cryogenic local-SOI devices characterization . . . . . . . . . . . . . . . . . . . . . . . . . . . . .120<br />

4.3.1 Coulomb oscillations and Coulomb gap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120<br />

4.3.2 Cryogenic measurement analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123<br />

4.3.3 Kink effect in local-SOI devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129<br />

4.4 SOI transistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .131<br />

4.4.1 Room temperature characterization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131<br />

4.5 Summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .134<br />

4.5.1 Synopsis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134<br />

4.6 Bibliography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .135<br />

Chapter 5: Conclusion and perspectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137<br />

5.1 Major achievements in this work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .138<br />

5.2 Perspectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .139<br />

Appendix A: PBL and SOI oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141<br />

Appendix B: List of publications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145<br />

Appendix C: Curriculum Vitae. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149


Fundings 3<br />

FUNDINGS<br />

This PhD thesis has been jointly funded by:<br />

The Network of Excellence SINANO (Silicon-based Nanodevices).<br />

SINANO is funded by the European Commission under the sixth EU<br />

Framework Programme <strong>for</strong> Research and Technological Development.<br />

(IST-506844).<br />

and<br />

The Swiss National Science Foundation, Project: Nano-scale <strong>hybrid</strong><br />

CMOS-SET IC architectures (NANO-IC).<br />

Independent Basic Research - Number: 200021-101847.


Abstract 5<br />

ABSTRACT<br />

Scaling of semiconductor devices has pushed CMOS devices close to fundamental limits. The<br />

remarkable success story of Moore's law during the last 40 years, predicting the evolution of<br />

<strong>electron</strong>ic device per<strong>for</strong>mances related to miniaturization, has always been respected.<br />

However, <strong>electron</strong> device ch<strong>all</strong>enges are now much more complex. In order to keep Moore's law<br />

living, device scaling-down is not enough. So c<strong>all</strong>ed material and geometry technology boosters have<br />

been introduced. High-k dielectrics, <strong>silicon</strong>-on-insulator substrates or strained <strong>silicon</strong> are some<br />

booster examples, used at sub-micron scale.<br />

This work proposes an investigation of a <strong>hybrid</strong> CMOS/SET technology, based on <strong>gate</strong>-<strong>all</strong>-<strong>around</strong><br />

<strong>silicon</strong> <strong>nanowires</strong>. It is shown that a <strong>silicon</strong> nanowire can serve two device purposes: first as<br />

innovative 3D metal-oxide-semiconductor field effect transistor (MOSFET), and second as <strong>single</strong><br />

<strong>electron</strong> transistor (SET).<br />

The SET is a solid-state <strong>electron</strong>ic device, which controls the transport of a unique or a few <strong>electron</strong>s.<br />

SET functions are not limited by its nano-scaled structure. The sm<strong>all</strong>er is the better. SET consists of<br />

a sm<strong>all</strong> conductive quantum dot, c<strong>all</strong>ed island, connected to two reservoirs acting as drain and source<br />

by tunnel junctions. The size of the island should be as sm<strong>all</strong> as possible - typical values ranging<br />

from 1 to 4nm - in order to have room temperature operation. Electron transport from source to<br />

island, and from island to drain is controlled by a capacitively coupled <strong>gate</strong>. The nano-scale size of<br />

the island makes the carrier electrostatic repulsion efficient. This effect is c<strong>all</strong>ed Coulomb Blockade.<br />

SET advantages are an ultra-reduced size and a very low power consumption, while SET ch<strong>all</strong>enges<br />

are variability related to dimension control and background charge effect, room temperature<br />

operation and a reduced fan-out.<br />

The first chapter of this thesis introduces ideas of up-to-date MOS and SET devices and shows how<br />

to combine MOS and SET to obtain original <strong>electron</strong>ic functions. The second chapter is a discussion<br />

of the nanowire as a technology plat<strong>for</strong>m <strong>for</strong> the integration of SET devices and also presents TCAD<br />

simulation results.<br />

Key contributions are reported in chapter three. This is the original and complete description of the<br />

different top-down processes used <strong>for</strong> the integration of a <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> MOS/SET plat<strong>for</strong>m.<br />

Samples integrated at the EPFL Center of Microtechnology (CMI) are presented. Different<br />

approaches have been studied and used in order to overcome lithographic limitations, and to have a<br />

fast and reliable integration at moderate cost. This includes auto-aligned techniques, focused ion<br />

beam prototyping, and top-down local-SOI and true-SOI <strong>nanowires</strong>.<br />

The local-SOI technique based on <strong>silicon</strong> nano-channel wires has given the best results and offers a<br />

lot of flexibility in term of wire cross-sections and shapes. Gate-<strong>all</strong>-<strong>around</strong> <strong>silicon</strong> <strong>nanowires</strong>,<br />

obtained by sacrificial etching and self-limited oxidation, with a circular 5nm diameter cross-section<br />

have been characterized.<br />

Chapter four is the validation by measurements of both SET and MOS devices. Excellent room<br />

temperature characteristics have been observed in MOS structures, while both I D -V G Coulomb<br />

oscillations and I D -V D Coulomb gap are observed on sm<strong>all</strong>er structures at cryogenic temperature<br />

(T


Résumé 7<br />

RÉSUMÉ<br />

La miniaturisation des dispositifs semi-conducteurs s’approche de limites physiques fondamentales.<br />

En effet, la progression constante des composants CMOS, établie depuis plus de 40 ans par la<br />

fameuse loi de Moore, a toujours été respectée, que ce soit en termes de puissance, de rapidité ou de<br />

taille des composants. Ce succès a permis le développement rapide des télécommunications.<br />

Cependant, un tel progrès n’est pas gratuit. Afin de soutenir une telle évolution, la miniaturisation ne<br />

suffit plus, la microélectronique traditionnelle semble à bout de souffle et un changement radical est<br />

inévitable: celui de la technologie post-CMOS.<br />

La loi de Moore est donc à un croisement, et c’est justement à ce croisement que se situe ce travail de<br />

thèse. Les deux voies envisageables sont d’une part celle qui semble la plus prudente et qui consiste<br />

à pousser la technologie CMOS à un optimum. D’autre part, puisque la technologie permet la<br />

fabrication de dispositifs nanométriques, pourquoi ne pas exploiter des principes physiques<br />

prédominants à cette échelle? Cette réflexion nous a conduit à une voie <strong>hybrid</strong>e, qui consiste à<br />

réaliser sur un même substrat, à la fois des transistors MOS et des transistors à électron unique (SET:<br />

<strong>single</strong> <strong>electron</strong> transistor) à partir d’une plate-<strong>for</strong>me technologique commune basée sur le nano-fil en<br />

silicium.<br />

Un transistor SET est un composant qui permet le contrôle d’un seul électron à la fois! Sa partie<br />

centrale est un îlot conducteur de dimension nanométrique, contacté à deux réservoirs par des<br />

jonctions tunnel. Le diamètre de l’îlot doit être de 1 à 4nm pour permettre un fonctionnement correct<br />

à température ambiante. A cette échelle, la répulsion électrostatique entre deux électrons permet un<br />

transfert quantifié de charges. Ce principe est appelé blocage de Coulomb. Le transfert d’électrons<br />

est contrôlé par une électrode de grille couplée de manière capacitive. Les avantages du SET sont<br />

une taille minimale et une puissance dissipée réduite au maximum. Par contre, la fabrication de SET<br />

de manière reproductible et à large échelle est un casse-tête technologique toujours irrésolu!<br />

Ce manuscrit de thèse s’articule autour de différents chapitres. Le premier présente l’état de l’art des<br />

technologies actuelles MOS et SET, et justifie le choix d’une intégration <strong>hybrid</strong>e. Le second chapitre<br />

introduit le concept de nano-fil en silicium et présente quelques résultats de simulation originaux,<br />

notamment sur les discrétisations d’énergie qui prédominent à échelle nanométrique.<br />

La partie principale de ce travail est décrite dans le troisième chapitre, consacré aux différents<br />

procédés d’intégration étudiés durant ce travail. Sur les quatre procédés évalués, la technique topdown<br />

appelée local-SOI a sans aucun doute donné les meilleurs résultats. Cependant, il nous a paru<br />

intéressant de tous les présenter pour justifier certaines approches. Toutes ces techniques ont été<br />

développées au Centre de Microtechnologie (CMI) de l’EPFL.<br />

La fabrication de nano-fils quantiques par la technique local-SOI est basée sur la gravure et<br />

l’oxydation sacrificielle de structures en silicium. Cette technique est simple, de bon rendement et<br />

peu coûteuse. Différentes longueurs de fils et des sections de <strong>for</strong>me variable ont été obtenues. Un fil<br />

circulaire de diamètre de 5nm représente la dimension minimale réalisée par ce procédé.<br />

Le quatrième chapitre présente les mesures électriques de nano-fils en silicium, et démontre<br />

comment cette unique structure permet à la fois d’obtenir des caractéristiques de type MOS et de<br />

type SET, selon les dimensions, les longueurs de canal et la température de fonctionnement. Le<br />

blocage de Coulomb, en I D -V G et I D -V D , a été clairement mesuré à une température inférieure à<br />

20K. Les caractéristiques sont excellentes en comparaison de nano-fils de croissance grâce à des<br />

contacts purement ohmiques. La mesure de stress dans le fil par spectroscopie Raman a également<br />

démontré une mobilité de porteurs très élevée (μ n =850cm 2 /Vs). Une étude des résultats basée sur la<br />

simulation par éléments finis complète l’analyse des mesures.<br />

Ce travail de thèse a ainsi prouvé une intégration <strong>hybrid</strong>e de transistors MOS et SET. Une telle<br />

réalisation est une solution élégante pour un transfert en douceur du CMOS vers de nouveaux<br />

composants nanoélectroniques.<br />

Mots-clés: Microélectronique, Nanoélectronique, Nanotechnologie, SET, MOS, Silicium, Fil<br />

quantique


List of acronyms 9<br />

LIST OF ACRONYMS<br />

1D-, 2D-, 3D- one-, two-, three-dimensional<br />

AFM atomic <strong>for</strong>ce microscopy<br />

ANP solution of acetic, nitric and phosphoric acid<br />

BHF buffered fluorhydric acid<br />

BJT bipolar junction transistor<br />

BOX buried oxide<br />

BSE back scattered <strong>electron</strong>s<br />

CB Coulomb Blockade<br />

CMI EPFL Center of Micro and Nanotechnology<br />

CMP chemical mechanical planarization<br />

CMOS complementary metal-oxide-semiconductor<br />

CNT carbon nanotube<br />

CPU central processing unit<br />

DG double <strong>gate</strong><br />

DIBL drain induced barrier lowering<br />

DOS density of states<br />

DWL direct writing laser<br />

EG <strong>electron</strong> gas<br />

EOT equivalent oxide thickness<br />

EPFL Ecole Polytechnique Fédérale de Lausanne<br />

FD fully depleted<br />

FET field effect transistor<br />

FIB focused ion beam<br />

FinFET field effect transistor with fin channel<br />

GAA <strong>gate</strong> <strong>all</strong> <strong>around</strong><br />

HBT heterojunction bipolar transistor<br />

HEMT high <strong>electron</strong> mobility transistor<br />

HP high per<strong>for</strong>mance<br />

HSQ hydrogen silsesquioxane<br />

IC integrated circuit<br />

ICP inductive coupled plasma<br />

ITRS international technology roadmap <strong>for</strong> semiconductor<br />

LEG laboratoire d’électronique générale<br />

LOP low operating power<br />

LPCVD low pressure chemical vapor deposition<br />

LSI large scale integration<br />

LOCOS local oxidation of <strong>silicon</strong><br />

LPD latteral pattern definition<br />

LTO low temperature oxide<br />

MC Monte Carlo<br />

MEMS micro-electro-mechanical systems<br />

MOSFET metal-oxide-semiconductor field effect transistor<br />

MVL multiple value logic<br />

MWCNT multi-w<strong>all</strong>ed carbon nanotube<br />

NEMS nano-electro-mechanical systems<br />

NW nanowire<br />

PADOX pattern dependent oxidation


10 List of acronyms<br />

PBL poly-buffered locos<br />

PD parti<strong>all</strong>y depleted<br />

PID proportional integral derivative<br />

PMA post met<strong>all</strong>ization anneal<br />

PolySi polycryst<strong>all</strong>ine <strong>silicon</strong><br />

PSG phosphosilicate glass<br />

QD quantum dot<br />

QDT quantum dot transistor<br />

R&D research and development<br />

RIE reactive ion etching<br />

RT room temperature<br />

RTA rapid thermal annealing<br />

RTD resonant tunneling device<br />

RTT resonant tunneling transistor<br />

S/D source and drain<br />

SCE short channel effect<br />

SE secondary <strong>electron</strong>s<br />

SED <strong>single</strong> <strong>electron</strong> device<br />

SEM scanning <strong>electron</strong> microscopy<br />

SET <strong>single</strong> <strong>electron</strong> transistor<br />

SG-MOSFET suspended-<strong>gate</strong> MOSFET<br />

SHT <strong>single</strong> hole transistor<br />

SIM scanning ion microscopy<br />

SIMOX separation by implantation of oxygen<br />

SIMS secondary ion mass spectrometry<br />

SiNW <strong>silicon</strong> nanowire<br />

SMT stress memory technique<br />

SNR signal-to-noise ratio<br />

SOI <strong>silicon</strong> on insulator<br />

SON <strong>silicon</strong> on nothing<br />

Sslope subthreshold slope<br />

STI sh<strong>all</strong>ow trench isolation<br />

STM scanning tunneling microscopy<br />

STN signal to noise<br />

SWCNT <strong>single</strong>-w<strong>all</strong>ed carbon nanotube<br />

TEOS tetra-ethyl-ortho-silicate<br />

TEM transmission <strong>electron</strong> microscopy<br />

ULSI ultra large scale integration<br />

UTB ultra thin body<br />

VCO voltage-controlled oscillator<br />

VLSI very large scale integration<br />

V-PADOX vertical pattern dependent oxidation<br />

WF work function


Chapter 1<br />

Introduction<br />

This chapter is a general introduction to the thesis work. It gives an overview of current <strong>silicon</strong><br />

technology, research, and future trends. Based on Moore’s law of MOS devices scaling, the<br />

continuous evolution of devices per<strong>for</strong>mances is presented. CMOS limitations - in terms of physics,<br />

modeling and technology - are also explained; in order to clearly understand what ch<strong>all</strong>enges are<br />

now facing semiconductor engineers. Scaling effects, which affect transport characteristics in ultrascaled<br />

devices, are described. Proposed nano-scale CMOS solutions are discussed, like new material<br />

integration and device architecture (3D MOSFET).<br />

Then, non-CMOS emerging devices <strong>for</strong> logic applications are introduced. Based on the International<br />

Technology Roadmap <strong>for</strong> Semiconductors (ITRS), an evaluation and a comparison between novel<br />

<strong>electron</strong> devices is given. The <strong>single</strong> <strong>electron</strong> transistor (SET) is considered now as a potential<br />

alternative solution. In terms of power consumption and integration level, the SET has much better<br />

per<strong>for</strong>mances than up-to-date MOS devices. The unique <strong>hybrid</strong> MOS-SET technology and circuit cointegration<br />

possibilities are also demonstrated. Basic physics, functions and operating principles of<br />

MOS and SET are emphasized.<br />

Even if long-term predictability of semiconductor industry seems highly risky, this introduction<br />

chapter clearly motivates this thesis work on <strong>hybrid</strong> SET/MOS applications.


12 CHAPTER 1: Introduction<br />

1.1 Past, present and future<br />

In this introduction, a summary of past results, current research and future trends in the field of<br />

semiconductor industry is given, and especi<strong>all</strong>y in <strong>silicon</strong> device research <strong>for</strong> logic applications.<br />

There are many physical effects that can be used to compute in<strong>for</strong>mation [Was05], but the choice of<br />

<strong>electron</strong> devices is astonishingly very limited. The MOS transistor has been the successful device <strong>for</strong><br />

more than 40 years and will, with no doubt, continue to be a key device. But alternative devices, as<br />

carbon nanotubes or <strong>single</strong> <strong>electron</strong> transistors exist, and preliminary results are encouraging. Even if<br />

we are still far away from any ideal device, semiconductor engineers have to find solutions in order<br />

to satisfy the huge need in efficient <strong>electron</strong>ic applications. The list of requirements that devices<br />

should ide<strong>all</strong>y fulfill is long and will be developed further, but we can already mention the device<br />

size, speed, power consumption and reliability as essential characteristics. All classes of devices<br />

have both technology and physics limitations, and this is still too early to say which structure will<br />

give long term best per<strong>for</strong>mances.<br />

Gordon E. Moore - Intel’s co-founder and the writer of the famous Moore’s law of exponential<br />

device scaling - said about his law: No exponential is <strong>for</strong>ever, but ’’<strong>for</strong>ever’’ can be delayed [Moo03].<br />

This means that devices can be highly improved. His vision is still remarkably correct, even though<br />

sm<strong>all</strong>est structures have now reached the atomic scale.<br />

The target of this introduction part is to motivate the <strong>hybrid</strong> MOS/SET approach we choose. Results<br />

obtained and discussed in next chapters are demonstrating that combining MOS devices and <strong>single</strong><br />

<strong>electron</strong> transistors may be a possible approach on the long road to ultimate computing.<br />

Past - a success story<br />

Present - we are at a<br />

crossing point.<br />

TIME TO<br />

MARKET<br />

CMOS<br />

MOORE’S LAW<br />

SCALING<br />

TECHNOLOGY<br />

STOP<br />

NANO-CMOS<br />

EMERGING DEVICES<br />

HYBRIDIZATION<br />

QUANTUM<br />

POWER<br />

SAVING<br />

Future - where sh<strong>all</strong> we go?<br />

Be<strong>for</strong>e starting an original scientific research work, authors should<br />

always be focused on previous works and state-of-the-art<br />

contributions. Silicon technology is a more-than-50-year old story,<br />

which includes a long list of outstanding results.<br />

The first part of this introduction includes a description of a basic<br />

MOS device, and gives also a brief history of IC integration. Then<br />

the Moore’s law on scaling is discussed. Difficulties that engineers<br />

are facing now are described. These limitations are not only<br />

resulting from technology, but also from physics itself. Now most<br />

advanced MOS devices have channel lengths shorter than 10nm,<br />

which means only 20 atoms of <strong>silicon</strong> along the channel.<br />

The semiconductor industry is now living a transition phase. At<br />

nano-size scale, the so-c<strong>all</strong>ed good design rules are no more valid.<br />

Per<strong>for</strong>mance boosters that have been introduced in Si technology are<br />

explained in this introduction. They consist in two main categories.<br />

The first category is based on new materials, like metal <strong>gate</strong> or<br />

strained <strong>silicon</strong>. The second one consists in new 3D device<br />

geometries, to which a sub-chapter is dedicated. A particular focus<br />

is done on <strong>silicon</strong> <strong>nanowires</strong>. Best device per<strong>for</strong>mances are often<br />

obtained by smart combinations of different boosters.<br />

The last sub-chapter of this introduction presents a new class of<br />

devices, c<strong>all</strong>ed emerging devices by the ITRS. Single <strong>electron</strong><br />

transistor, carbon nanotubes or spin-based transistors are some<br />

examples of emerging devices. Those devices have specific<br />

advantages and limitations, but they are <strong>all</strong> considered as extremely<br />

interesting in post-CMOS ultra-large scale integration.<br />

A more detailed description of the SET is given, because this device<br />

is the one we choose to be co-integrated in a <strong>silicon</strong> nanowire<br />

technology plat<strong>for</strong>m. The last part presents some <strong>hybrid</strong> applications<br />

based on combined MOS and emerging devices circuitry.


The EPFL <strong>hybrid</strong> NANO-CMOS vision 13<br />

1.2 The EPFL <strong>hybrid</strong> NANO-CMOS vision<br />

This thesis is a part of global NANO and CMOS researches developed at the EPFL Electronics<br />

Laboratory (LEG). The main target of this first chapter is a detailed description of such an approach,<br />

with a specific focus on ch<strong>all</strong>enges that need to be overcome.<br />

New-nano:<br />

Hybridization<br />

Nanotechnology Micro<strong>electron</strong>ic<br />

Figure 1.1: When nanotechnology meets micro<strong>electron</strong>ics. Nano-CMOS devices (boosted devices<br />

and 3D MOS architecture) and the <strong>single</strong> <strong>electron</strong> transistors (SET) are both exploiting potentials<br />

from the nano and the micro sides.<br />

There are many scientific<strong>all</strong>y intriguing examples of new nano-devices, including the <strong>single</strong> <strong>electron</strong><br />

transistor. In order to optimize the compatibility between CMOS and SET, we choose <strong>silicon</strong> as a<br />

common material plat<strong>for</strong>m. The work was also essenti<strong>all</strong>y focused on a top-down approach. Our<br />

conception was not to process a few nano-scale devices, but either to propose a complete <strong>hybrid</strong><br />

process <strong>for</strong> large scale integration. This vision is motivated by the strength of nano-scale devices to<br />

do massive par<strong>all</strong>el operations at low power consumption, impossible to build only upon CMOS.<br />

After many tests, we found that the <strong>silicon</strong> nanowire built in a <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> geometry is a very<br />

appealing and versatile structure. Figure 1.2 presents how we bridge together science at the micro<br />

and nano scale.<br />

D<br />

innovation<br />

bottom up<br />

low power<br />

consumption<br />

new functions<br />

NANO-CMOS<br />

SET<br />

maturity<br />

moderate cost<br />

standard process<br />

reliability<br />

Nano-devices Nano-technology<br />

Si dot<br />

5nm<br />

Nanotechnology is innovation <strong>for</strong> tomorrow’s world<br />

2μm<br />

Silicon<br />

S<br />

Top-down<br />

Micro-technology<br />

New nano concepts<br />

and modeling<br />

Figure 1.2: Some original results further developed in this report. These include functional nanodevices,<br />

the use of dedicated nano-technology tools, a top-down micro-technology optimization and<br />

new nano-modeling.<br />

For the rest of this work, we consider the following definition of Nanotechnology: This is the art and<br />

science to organize the matter from the atomic size up to 100nm, to exploit unique physical<br />

properties that predominate at this scale, and to built systems at this size. In this way,<br />

nanotechnology is not only a shrink in size; this is much more... and this report will show why this is<br />

much more!


14 CHAPTER 1: Introduction<br />

1.3 CMOS scaling and nano-scale CMOS<br />

In this sub-chapter, basic ideas, novel materials and new concepts of the <strong>silicon</strong> MOSFET are<br />

described. The target of this section is not to give a complete modeling of a MOS transistor, but<br />

rather to briefly describe the MOS architecture and to summarize its main limitations and<br />

advantages. There is a considerable literature on MOS devices. Some references are giving a general<br />

overview [Sze02], other are focused on more specific goals; as technology integration [Mad02].<br />

This chapter will also be placed in its historical context. It seems important to have an excellent<br />

knowledge of results that have been obtained during the last decades. A crystal clear view of actual<br />

R&D in semiconductor industry is absolutely mandatory to make any predictions. We are now living<br />

an exciting CMOS evolution, because dimensions are close to the atomic dimensions and scaling<br />

will inevitably decrease or even stop at an optimized scale.<br />

The last part of this sub-chapter is an evaluation of technology boosters that have been introduced in<br />

order to correct non-linear scaling and material limitations. This section is also of great importance<br />

<strong>for</strong> the next part of this work, because the <strong>silicon</strong>-based SET technology is almost exclusively<br />

depending on CMOS technology, which is a mature technology and that represents more than 70% of<br />

worldwide IC production. CMOS technology is of course the driver <strong>for</strong> many new nanotechnologies,<br />

not only SET, but also other <strong>silicon</strong>-based emerging devices.<br />

1.3.1 The MOS transistor: a short overview<br />

The MOS transistor structure<br />

In this part, we describe the structure and operation modes of a planar bulk MOS transistor with<br />

inversion channel. A MOS transistor is a three-terminal semiconductor structure, as displayed in<br />

Figure 1.3, which is used as <strong>electron</strong>ic switch, amplifier or oscillator in integrated circuits. The free<br />

carrier level in a MOS channel is controlled by the electrostatic effect of the <strong>gate</strong>-to-channel<br />

capacitance, using the <strong>gate</strong> oxide as capacitor dielectrics. The channel is connected to two highly<br />

doped regions - n+ doped <strong>for</strong> NMOS - and the total current flow is a function of the drain-to-source<br />

bias. This device can there<strong>for</strong>e be considered as a tunable resistor, with current flow in a par<strong>all</strong>el 2D<br />

surface.<br />

A MOS transistor is a unipolar device, NMOS have <strong>electron</strong>s as free charge carriers and PMOS<br />

holes. Both types of devices are needed in CMOS technology. CMOS integration is done using<br />

planar technology in clean-room. Materials used are mainly <strong>silicon</strong> dioxide (SiO 2 ) as <strong>gate</strong> dielectrics,<br />

highly doped poly-cryst<strong>all</strong>ine <strong>silicon</strong> as <strong>gate</strong> and metals (Al, Cu) <strong>for</strong> contacts.<br />

Spacers<br />

n+<br />

Drain metal<br />

contact<br />

Channel<br />

length<br />

Channel<br />

width<br />

Figure 1.3: Structure of a basic n-channel MOS transistor, including <strong>gate</strong> spacers.<br />

Typical dimensions of a 0.18μm CMOS technology are the following [Sko05]:<br />

• Gate length .......................................................................... L G = 0.18μm<br />

• Channel length .................................................................... L = 0.13μm<br />

• Gate oxide thickness ........................................................... T ox = 4nm<br />

• S/D junction depth............................................................... x j = 0.15μm<br />

• Channel width ..................................................................... W = 0.35μm<br />

• Substrate doping.................................................................. N B = 5x10 17 cm -3<br />

Gate<br />

Gate<br />

oxide<br />

n+<br />

p-type <strong>silicon</strong><br />

substrate<br />

Source metal<br />

contact


CMOS scaling and nano-scale CMOS 15<br />

MOS operating modes<br />

Static current modeling in a MOS transistor is usu<strong>all</strong>y evaluated with the band structure of the MOS<br />

capacitor <strong>gate</strong> - <strong>gate</strong> oxide - <strong>silicon</strong>. The total charge between the two sides of the MOS capacitor are<br />

equal.<br />

Depending on the <strong>gate</strong>-to-channel bias, <strong>all</strong> modes of operation can be given as a function of Ψ S , the<br />

surface potential, and Ψ B , the difference between the Fermi level and the intrinsic level in <strong>silicon</strong>.<br />

Table 1.1 summarizes <strong>all</strong> operating modes and will serve as a reference <strong>for</strong> the next chapters.<br />

TABLE 1.1: OPERATING MODES OF A NMOS DEVICE, FROM ACCUMULATION TO STRONG INVERSION.<br />

Table 1.1 has the advantage to describe an operation principle that is not dependent from any<br />

dimensions or particular structure of the device. The total current through the transistor I D is<br />

computed as a function of the surface potential as well as S/D and bulk bias conditions.<br />

An advanced MOS example<br />

Surface potential Operating mode<br />

ψS < 0<br />

ψ S<br />

=<br />

0<br />

0 < ψS < ψB ψ S<br />

=<br />

ψ B<br />

ψB > ψS > 2ψB ψ S<br />

=<br />

2ψ B<br />

ψS ><br />

2ψB Accumulation of holes<br />

Flat-band condition<br />

Depletion of holes<br />

Midgap with intrinsic concentration<br />

Weak inversion regime<br />

Threshold voltage condition<br />

Strong inversion regime<br />

In comparison with 1970s devices, architectures and dimensions of actual MOS transistors are<br />

completely different. However, operating modes are strictly equivalent. The example presented in<br />

Figure 1.4 is a multi-bridge device with a 240nm channel length published in 2004 [Lee04a]. A<br />

per<strong>for</strong>mance comparison is made between this device and a planar FET. Strong improvements are<br />

revealed in terms of a steeper sub-threshold slope and a higher ON-current.<br />

Figure 1.4: SEM channel cross-section picture of an advanced MOSFET transistor (left). This<br />

device is composed by two epitaxial <strong>silicon</strong> multi-bridge channels and a <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> structure.<br />

The channel length is 240nm. I D -V G characteristics of the same device with a planar FET<br />

comparison are depicted (right) [Lee04a].<br />

The different architectures of MOS devices with improved per<strong>for</strong>mances and architectures will be<br />

described in the next part of this introduction chapter.


16 CHAPTER 1: Introduction<br />

1.3.2 Short CMOS history<br />

Semiconductor materials have been used in the <strong>electron</strong>ics industry be<strong>for</strong>e the invention of the first<br />

transistor. At the beginning of the 20 th century, a device c<strong>all</strong>ed cat’s whisker was used as radio<br />

detector. This device was made of a tungsten filament moving over a surface of <strong>silicon</strong> carbide. This<br />

device was then replaced by first vacuum tubes, invented by Lee de Forest in 1906, and research on<br />

semiconductor devices was <strong>for</strong> a while put to one side. However, vacuum tubes were consuming a lot<br />

of power and had a poor reliability.<br />

During World War II, tube-based radio was not efficient enough and semiconductor devices have<br />

focused again a lot of interest. Russell Ohl, of Bell Labs (New Jersey), has decided to improve the<br />

concept of cat’s whisker, and together with Walter Brattain he observed the first pn junction effect in<br />

a doped semiconductor device. These developments continued after the War. The group of William<br />

Shockley worked on the realization of the first triode-like semiconductor device. First results have<br />

been obtained by Ohl and Brattain, even a lack of repeatability in measurements. At the same time<br />

was developed the quantum surface physics to account <strong>for</strong> the <strong>electron</strong>ic behavior of devices.<br />

The first operational transistor was fin<strong>all</strong>y invented in 1947 by Bardeen, Shockley and Brattain<br />

(Figure 1.5). This device was a PNP point-contact germanium transistor and was used as speech<br />

amplifier with a power gain of 18 [Bar48]. This device was named transistor in 1948 - an<br />

abbreviation of transconductance and varistor. Bardeen, Shockley and Brattain were awarded the<br />

Nobel Prize of Physics in 1956 <strong>for</strong> this result. The first point-contact transistor was quickly<br />

improved, mainly by Shockley himself, and he invented a new three-layer structure, which was more<br />

robust. This structure has evolved into the famous bipolar junction transistor (BJT).<br />

By the late 1960s, germanium was replaced by <strong>silicon</strong> with a much higher reliability and at a less<br />

expensive cost [Mul86]. At the same time was developed surface chemistry and first high-purity<br />

MOS layers, with the first available discrete MOS devices (1964, Fairchild and RCA companies).<br />

Figure 1.5: John Bardeen (1908-1992), William Shockley (1910-1989) and Walter Brattain (1902-<br />

1987) from Bell Laboratories, in 1947 (left), and the first germanium point-contact transistor (right).<br />

The integrated circuit is a direct consequence of planar <strong>silicon</strong> technology development. The first<br />

CMOS (complementary MOS, inc. both n-type and p-type devices) integrated circuit was realized by<br />

Fairchild in 1962 and was a 2-transistor MOS inverter (see Figure 1.6). This technique immediately<br />

had a lot of success. Strong improvement in photolithography, initi<strong>all</strong>y conceived <strong>for</strong> printing works,<br />

was made at that time.<br />

In comparison, an Intel Pentium 4 micro-processor picture (2004) is also proposed in Figure 1.6. On<br />

January 2006, the first 1-billion transistor chip was released by Intel [Nai05], using a 45nm<br />

technology node <strong>for</strong> the integration. This processor belongs to the dual-core Itanium 2 serie, with<br />

less than 100W of total power dissipation.<br />

Figure 1.6: First integrated circuit [Aug83] produced at Fairchild Semiconductor (left), and an Intel<br />

P4 micro-processor, including millions of transistor in a 90nm process technology node (right).


CMOS scaling and nano-scale CMOS 17<br />

1.3.3 Moore’s law and scaling rules<br />

Moore’s law: an industry driver<br />

At the beginning of the 1960s, semiconductor device per<strong>for</strong>mances yearly increased. Gordon E.<br />

Moore, who was at that time R&D manager at Fairchild Semiconductor, observed this evolution. He<br />

deduced in 1965 [Moo65]: The complexity <strong>for</strong> minimum component costs has increased at a rate of<br />

roughly a factor of two per year. Certainly over the short term this rate can be expected to continue,<br />

if not to increase. [...] That means by 1975, the number of components per integrated circuit <strong>for</strong><br />

minimum cost will be 65’000.<br />

His vision was very pragmatic. He made different graphs and checked the time evolution of number<br />

of transistors per chip and the relative manufacturing cost per component. He deduced that there is an<br />

optimal level of complexity, and this level is multiplied by two each year. An example of Moore’s<br />

law <strong>for</strong> Intel processors is presented in Figure 1.7. In this plot, the evolution in terms of transistors<br />

per chip is shown, starting from the 4004 processor (CPU=740kHz, 2250 transistors) to the Itanium 2<br />

Montecito processor (CPU=1.6GHz, 1.72x10 9 transistors).<br />

Figure 1.7: Moore’s plot <strong>for</strong> Intel processors. The number of transistors per chip is plotted as a<br />

function of time [Int07a].<br />

In 1975, Moore revalued his prediction [Moo75]. He said that the main factors responsible <strong>for</strong> the<br />

increase of the number of transistors per chip are the following:<br />

• The area of the integrated circuit<br />

• The resolution of the photolithography used<br />

• The component and circuit design<br />

From 1975 his view slightly changed. He said that the complexity of integrated circuits will not<br />

double every year, but every two years, however the exponential growth remains. In his latest<br />

contributions in 1995 [Moo95] and 2003 [Moo03], Moore observed that the advance made has<br />

always been respected during more than 40 years.<br />

The question that everybody has in mind is: how long will this prediction be true? There are different<br />

ways to plot Moore’s law: <strong>for</strong> example as a function of transistors per chip or as a function of device<br />

size. The continuous scaling down has already reached mesoscopic size, and atomic dimensions will<br />

certainly be obtained in a couple of years. Sm<strong>all</strong>est MOS devices are by now sensitive to quantum<br />

fluctuations (random doping, Coulomb oscillations).<br />

The International Technology Roadmap <strong>for</strong> Semiconductor (ITRS), a non <strong>for</strong> profit entity of<br />

industry, suppliers and academic groups, is yearly publishing predictions on CMOS process<br />

integration, devices and structures [Pid06]. The 2006 ITRS release cannot give any manufacturing<br />

solutions <strong>for</strong> technology nodes which where preliminary supposed to be introduced in 2008! Due to a<br />

higher and higher pressure on academic and industrial research groups, success and new results are<br />

still reported. For example, Intel introduces in 2007 the 45nm technology node in production<br />

[Int07b]. The 22nm node is expected <strong>around</strong> 2015.


18 CHAPTER 1: Introduction<br />

We can reasonably imagine a scaling down up to 3 to 5nm channel length. Sm<strong>all</strong>er dimensions<br />

inevitably seem to lead to unavoidable S/D carrier tunneling.<br />

So what can be expected is first a continuous scaling of dimensions down to an optimal channel<br />

length size. After, per<strong>for</strong>mances will not result from scaling anymore, but from device optimization<br />

and <strong>hybrid</strong>ization:<br />

• New materials (high-k, metal <strong>gate</strong>, strained <strong>silicon</strong>) and new architectures (multiple <strong>gate</strong>)<br />

• Introduction of new class of devices (carbon nanotubes, SET, spin-based transistors)<br />

• Different computing (par<strong>all</strong>el architectures, fault tolerant circuits)<br />

Any prediction of the end of Moore’s law is there<strong>for</strong>e extremely difficult. Other issues like power<br />

dissipation or interconnects also have to be addressed. All these points have to be solved with an<br />

ever-reduced manufacturing cost. A direct consequence of IC evolution is that only a very limited<br />

number of companies will have the potential to properly integrate devices at atomic scale with<br />

working and reliable functions.<br />

CMOS scaling rules<br />

Scaling of MOSFET to sm<strong>all</strong>er dimensions is needed in order to increase per<strong>for</strong>mances:<br />

• Higher circuit density<br />

• Reduced power consumption per operation<br />

• Faster circuit operation<br />

The main physical effect that appears when reducing the dimension at submicron scale is the<br />

electrostatic potential trans<strong>for</strong>mation from a 1D profile into a 2D profile [Pan04]. In a long transistor<br />

(L>1μm), the surface potential Ψ S below the <strong>gate</strong> is constant along the channel. This is not true in<br />

short channel devices.<br />

Scaling parasitic effects are the short channel effect (SCE) or the drain-induced barrier lowering<br />

(DIBL) that affect the conduction in short devices. Other issues, like the access S/D resistance or the<br />

poly<strong>silicon</strong> depletion need also to be addressed and will be discussed in the Section 1.3.4.<br />

Different models have been proposed to evaluate which scaling rules should be used, <strong>for</strong> horizontal<br />

and vertical dimensions, layer thicknesses, material doping and applied voltages. The constant<br />

electrostatic field scaling - introduced by Dennard [Den74] - is the simplest rules set proposed to<br />

avoid reliability problems. This consists in keeping a constant electric field (vertical and lateral) in<br />

the device. These rules are summarized in Table 1.2.<br />

TABLE 1.2: SCALING RULES AT CONSTANT GATE OXIDE ELECTRIC FIELD [TAU98].<br />

Scaling assumptions<br />

Derived scaling device<br />

parameters<br />

Derived scaling circuit<br />

parameter<br />

MOSFET device and<br />

circuit parameter<br />

Device dimensions (L - W - x j - T ox )<br />

Doping concentration (N a - N d )<br />

Voltage (V D )<br />

Electric field (E)<br />

Capacitance (C=εA/T ox )<br />

Inversion-layer charge density (Q i )<br />

Current (I)<br />

Circuit delay time (τ ~ CV D /I D )<br />

Circuit power dissipation (P ~ V DI D)<br />

Circuit density (~ 1/A)<br />

Power density (P/A)<br />

Scaling Factor<br />

(α>1)<br />

Major difficulties of scaling are the more and more reduced planar dimensions (L, W), and so the<br />

need <strong>for</strong> better lithography. The decrease in the <strong>gate</strong> oxide thickness T ox (<strong>gate</strong> leakage) and the S/D<br />

junction depth x j (ultra sh<strong>all</strong>ow junctions) are in addition to these technical ch<strong>all</strong>enges [Lo99].<br />

1/α<br />

α<br />

1/α<br />

1<br />

1/α<br />

1<br />

1/α<br />

1/α<br />

1/α 2<br />

α 2<br />

1


CMOS scaling and nano-scale CMOS 19<br />

Furthermore, a non-linear scaling is slightly introduced, as recently reported by Dennard himself in<br />

[Den07]. As shown in Figure 1.8, a device scaling with a higher electric field - compared to the<br />

previous node - results in an increased power density, even if power density should ide<strong>all</strong>y not be<br />

increased. This is now a big subject of concern.<br />

Figure 1.8: Scaling of the electric field vs. channel length (left) and the projected power density vs.<br />

frequency operation (right). Both graphics are from [Den07].<br />

Good scaling rules<br />

In addition to Dennard’s rules, authors [Sko00] have introduced so c<strong>all</strong>ed good design rules which<br />

are ideal proportions <strong>for</strong> a planar MOS device that give good per<strong>for</strong>mances. At nano-scale, these<br />

rules need to be very carefully checked and are progressively not respected anymore. In the set of<br />

equations (1.1), T dep is the depletion depth, V TH the threshold voltage and V DD the supply voltage.<br />

xj ---<br />

L<br />

=<br />

1<br />

--<br />

3<br />

TOX --------<br />

1<br />

= -----------------<br />

L 30 ÷ 40<br />

Tdep ---------<br />

L<br />

1.3.4 Nano-scale CMOS: problems and solutions<br />

Many anomalous scaling effects appear at submicron scale. The problem and solution list proposed<br />

in this section does not include new device architectures (as SOI substrates or multi-<strong>gate</strong><br />

architectures), this part will be presented in detail in Section 1.4 of this introduction. This list of<br />

problems and solutions is quite long, but this will serve as a reference in the MOS/SET <strong>hybrid</strong> cointegration<br />

part. The <strong>single</strong> <strong>electron</strong> transistor is indeed so strongly coupled and dependent from the<br />

CMOS technology, that any CMOS improvement can be beneficial <strong>for</strong> the SET integration.<br />

In order to illustrate latest success of short-channel MOS transistors, Figure 1.9 presents three<br />

noticeable results published recently. All these devices are operational.<br />

L=20nm L=6nm L=4nm<br />

Poly<br />

<strong>gate</strong><br />

(a) (b) (c)<br />

Figure 1.9: Three examples of ultimate device integration with ultra short channel dimensions.<br />

(a) 20nm <strong>gate</strong> length, 1.2nm <strong>gate</strong> oxide thickness integrated by CEA-LETI, 2000 [Del00].<br />

(b) 6nm <strong>gate</strong> length transistor on a SOI substrate, realized by IBM, 2002 [Dor02].<br />

(c) 4nm <strong>gate</strong> length on bulk <strong>silicon</strong>, reported by NEC Electronics, 2003 [Wak03].<br />

=<br />

1<br />

--<br />

3<br />

V TH<br />

---------<br />

V DD<br />

=<br />

1<br />

--<br />

5<br />

(1.1)


20 CHAPTER 1: Introduction<br />

Short channel effect (SCE)<br />

The short channel effect (SCE) is an electrostatic effect that appears in submicronic-length transistors<br />

[Gau03b]. As explained in Figure 1.10, the surface potential Ψ S induced by the <strong>gate</strong> voltage bias is<br />

constant alongside the channel. At contact sides, the voltage is equal to the built-in voltage V bi at the<br />

source and V bi + V DS at the drain. The SCE is calculated at V DS =0.<br />

Short channel devices do not have a constant surface potential. This is due to the proximity influence<br />

of S/D sides, resulting in a 2D potential distribution below the <strong>gate</strong>. The shorter the device, the<br />

sm<strong>all</strong>er the S/D potential barrier.<br />

The consequences of SCE are a negative shift of the threshold voltage V TH worsened by a higher<br />

dispersion value. The second major consequence, linked with the un-scalability of the sub-threshold<br />

slope, is a net increase of the I OFF current [ I OFF =I D (V G =0) ].<br />

The influence of the short channel effect is calculated with equation (1.2), this gives the barrier<br />

potential lowering (in Volt) at V DS =0 (see Figure 1.10).<br />

Ψ S [V]<br />

2.0<br />

1.5<br />

1.0<br />

0.5<br />

DIBL<br />

Ψ S = V bi +V DS<br />

DIBL<br />

SCE<br />

SCE<br />

0.0<br />

0.0 0.1 0.2 0.3 0.4 0.5 0.6<br />

Channel length [μm]<br />

Ψ S = V bi<br />

Figure 1.10: n-channel MOSFET surface potential with different channel lengths. Short channel<br />

devices may suffer from both short channel effect and drain induced barrier lowering.<br />

The short channel effect is expressed with the following equation [Sko05]:<br />

2<br />

xj SCE 0.64 εSi ⎛ ⎞Tox ------ ⎜1+ ------ ⎟-------<br />

ε 2<br />

ox ⎝ ⎠ Lel Tdep = --------- V<br />

L bi = 2 × EI × Vbi el<br />

L el<br />

L el is the electrical channel length, this is the distance between the two source/channel and channel/<br />

drain junctions. T ox is the <strong>gate</strong> oxide thickness and T dep the depletion depth in <strong>silicon</strong>. EI stands <strong>for</strong><br />

Electrostatic Integrity.<br />

The decrease in threshold voltage, c<strong>all</strong>ed V TH roll-off, is given by the following equation:<br />

ΔV TH<br />

qNATdepxj⎛ 2Tdep ⎞<br />

=<br />

– ------------------------ ⎜ 1 + ------------- – 1⎟<br />

CoxLel ⎝ xj ⎠<br />

These two equations will be used in further sections of this work.<br />

Solutions to limit the short channel effect exist and they consist in modifying the 2D electrostatic<br />

potential below the <strong>gate</strong> into a 1D constant profile, as it is the case in long channel devices. The main<br />

solutions proposed in the literature are:<br />

• Thinner <strong>gate</strong> oxide<br />

• Non-uni<strong>for</strong>m lateral channel doping (c<strong>all</strong>ed superhalo doping) [Tau97]<br />

• Very abrupt S/D junctions<br />

• The use of fully depleted SOI substrates (see Section 1.4)<br />

• Negative substrate bias (to limit V TH roll-off)<br />

0.7<br />

(1.2)<br />

(1.3)


CMOS scaling and nano-scale CMOS 21<br />

Modeling of SCE can - <strong>for</strong> example - be calculated with MASTAR [Sko93], an executable code<br />

developed along with the ITRS [Mas07]. It uses the voltage-doping trans<strong>for</strong>mation [Sko00].<br />

Drain induced barrier lowering (DIBL)<br />

The drain induced barrier lowering (DIBL) is an electrostatic issue resulting from a non-uni<strong>for</strong>m<br />

potential distribution below the <strong>gate</strong>, due to S/D implantation proximity. The potential barrier in<br />

short channel transistors is linearly modulated by the drain-source bias (see Figure 1.10). This leads<br />

to an increase in <strong>electron</strong> injection from source to drain, and so to a sub-threshold current increase<br />

[Sko00]. Solutions proposed to limit the DIBL are the same as those applied <strong>for</strong> the SCE.<br />

DIBL 0.8 εSi ⎛ ⎞Tox ------ ⎜1+ ------ ⎟-------<br />

ε 2<br />

ox ⎝ ⎠ Lel Tdep = --------- V<br />

L DS = 2 × EI × VDS el<br />

Channel doping and anti punch-through<br />

S/D<br />

extension<br />

p- bulk<br />

Figure 1.11: Typical doping profile <strong>for</strong> a planar<br />

sub-100nm channel length NMOS device.<br />

The different doping profiles have to preserve the Electrostatic Integrity, and to limit short channel<br />

effect and V TH roll-off. The p+ retrograde doping is a S/D anti punch-through artefact. This serves to<br />

avoid the breakdown of the S/D junction through the bulk of the transistor, at high V DS bias.<br />

The implantation control has to be extremely precise at the nano-scale size. Different species are<br />

used (P, As, B, BF 2), at low energy (a few keV) and at high dose - in order to have ultra sh<strong>all</strong>ow<br />

junctions. Different activation methods are proposed to limit as much as possible dopants diffusion;<br />

we can mention RTA (rapid thermal annealing) and LTA (laser thermal annealing). Many<br />

technological variants are investi<strong>gate</strong>d [Fra01].<br />

Random fluctuations<br />

A long-channel<br />

MOSFET<br />

Spacer<br />

Gate<br />

2<br />

xj L el<br />

n++ n++<br />

n+ n+<br />

p+ pockets<br />

p+ retrograde doping<br />

A 22nm MOSFET in<br />

production 2008<br />

p channel<br />

doping<br />

A 4.2nm MOSFET<br />

in production 2023<br />

Figure 1.12: Effect of matter discreetness at<br />

nano-scale. Picture from [Ase03].<br />

Threshold voltage variations ranging from 20 to 40mV have been reported in sub-50nm channel<br />

length devices [Asa97]. The concept of un-doped channel has also been proposed in order to avoid<br />

fluctuations.<br />

(1.4)<br />

As given in Table 1.2, the <strong>silicon</strong> doping level<br />

has to increase with channel size reduction.<br />

Figure 1.11 shows an example of doping profile<br />

in a n-type short channel device. The following<br />

dopants are implanted during the process:<br />

• n+ doping at S/D <strong>for</strong> ohmic contacts<br />

• n++ S/D extensions (low access resistance)<br />

• p channel doping (V TH adjustment)<br />

• p+ pockets (SCE, V TH roll-off) [Coc99]<br />

• p+ doping (to avoid S/D punch-through)<br />

Random dopant fluctuation will be a major<br />

issue in the next decades <strong>for</strong> the integration of<br />

nano-scale devices. Discreet aspect of matter, as<br />

displayed in Figure 1.12, affects reproducibility<br />

among devices. A poor device matching will<br />

have a large impact on circuit design.<br />

The 7nm node, predicted by the ITRS <strong>for</strong> 2025,<br />

has a channel made up with only 15x15x15<br />

<strong>silicon</strong> atoms. The impact of any <strong>single</strong> dopant<br />

or <strong>single</strong> defect will have direct consequences<br />

on <strong>electron</strong> transport, and mainly on V TH<br />

fluctuations [Miz94].


22 CHAPTER 1: Introduction<br />

Mobility degradation<br />

Current in electrical devices linearly depends on carrier mobility in <strong>silicon</strong>. The higher the mobility,<br />

the higher the current. Typical values reported in un-doped bulk <strong>silicon</strong> are:<br />

• Hole mobility ...................................................................... μ h = 471 cm 2 /Vs<br />

• Electron mobility................................................................. μ e = 1417 cm 2 /Vs<br />

These mobility values are not effectively observed in MOS devices. Carrier mobility in <strong>silicon</strong><br />

devices is only a fraction of bulk values and is limited by the following effects:<br />

• Applied electric field: mobility degradation by phonon scattering (Mathiessen rule).<br />

• High doping level degradation (μ e ~ 50 cm 2 /Vs at N D =10 20 cm -3 ).<br />

• Interface scattering at the Si/SiO 2 interface of a MOSFET.<br />

As scaling is done at constant electric field, mobility degradation is limited in short channel devices.<br />

However, the high doping level of bulk substrate and the difficulty to have highly clean interfaces at<br />

the nano-scale does not help to maintain this high level.<br />

Different empirical laws are proposed to take account of mobility degradation. General description<br />

can be found in [Mul86]. Phonon scattering model is proposed by [Lom88] and doping-dependent<br />

mobility reduction in [Mas83]. Concerning surface scattering in ultra-scaled devices, reports<br />

proposed are more controversial. Similarly, the exact value of mobility in short channel devices is<br />

still under investigation. Further developments are given in this 2006 paper [Ern06].<br />

Strained <strong>silicon</strong><br />

The strained <strong>silicon</strong> technique consists in inducing stress in <strong>silicon</strong> to enhance carrier mobility. The<br />

<strong>silicon</strong> bandgap is also changed by an applied stress. Depending on <strong>silicon</strong> cryst<strong>all</strong>ine orientation and<br />

doping level/type, a gain in mobility of a few tenths of percents is obtained <strong>for</strong> less than 1% of strain<br />

(tensile stress <strong>for</strong> NFET, compressive stress <strong>for</strong> PFET). Almost <strong>all</strong> advanced CMOS technologies are<br />

exploiting mobility enhancement in strained <strong>silicon</strong>.<br />

A remarkable study of strained ultra-scaled devices integration is given by [Rim00]. A TEM picture<br />

of a strained <strong>silicon</strong> channel is shown in Figure 1.13. Introduction of strain in <strong>silicon</strong> is a very<br />

efficient way to improve per<strong>for</strong>mances, which are directly observed in a net increase in the device<br />

transconductance g m. Systematic extraction of the inversion layer mobility vs. strain in nano-scale<br />

devices was recently proposed by [Iri04]. This reference is valid <strong>for</strong> n-type and p-type inversion<br />

layers with different cryst<strong>all</strong>ine orientations.<br />

Figure 1.13: Example of a transistor with a 65nm long strained <strong>silicon</strong> channel. Strain is obtained<br />

from the combined use of a SiGe buffer layer and S/D silicidations. Picture from [Lee05].


CMOS scaling and nano-scale CMOS 23<br />

Strained <strong>silicon</strong> is also used in non-CMOS <strong>silicon</strong> applications, <strong>for</strong> example in sensors or NEMS. An<br />

example of giant piezoresistive effect measured in a <strong>silicon</strong> nanowire is shown in Figure 1.14. This<br />

device emphasizes a different strain behavior in <strong>silicon</strong> at the nano-scale, in comparison with larger<br />

structures. The test structure used is a CVD grown <strong>silicon</strong> nanowire, using Au nanoparticle as<br />

the catalyst and anchored between two <strong>silicon</strong> pads.<br />

Figure 1.14: Piezoresistance effect measured in a -oriented, p-type, grown <strong>silicon</strong> nanowire,<br />

according to [He06].<br />

The main techniques proposed to induce strain in <strong>silicon</strong> are:<br />

• Lateral source drain silicides<br />

Silicidation of S/D contacts results in stress in the channel. This depends on silicide type, channel<br />

length and device structure. Excellent PMOS (compressive stress), with low access resistances, have<br />

been reported.<br />

• Over-layer deposition (nitride)<br />

CVD and PECVD deposition of nitride layer can induced >1GPa of internal stress, both tensile or<br />

compressive. Stress distribution highly depends on the device structure.<br />

• Stress induced by oxidation<br />

SiO 2 is a viscoelastic material. Silicon oxide growth induces stress in the structure [Shi00]. This<br />

effect is not re<strong>all</strong>y well-adapted <strong>for</strong> CMOS technology but is of crucial importance in <strong>single</strong><br />

<strong>electron</strong>ics, as further explained.<br />

• The use of a SiGe buffer layer<br />

Cryst<strong>all</strong>ine lattices of SiGe and Si are different. Epitaxial growth of Si over a SiGe layer results in a<br />

strained <strong>silicon</strong> layer. Furthermore, SiGe can be selectively removed by dry etching.<br />

A detailed review on the techniques used to produce strain in CMOS technology is presented in<br />

[Chi06]. As reported on other works, [Chi06] describes also the stress memory technique (SMT),<br />

which is a combined effect of implantation-controlled S/D <strong>silicon</strong> amorphization and nitride overlayer<br />

deposition to induce stress in <strong>silicon</strong>. Stress can be stored in the doped S/D junctions, if an<br />

adapted annealing process is introduced in the process flow. SMT has the advantage that <strong>silicon</strong><br />

strain level is maintained even after removal of the nitride layer. This is now highly used in PMOS<br />

fabrication.<br />

Gate oxide leakage, high-k dielectrics<br />

Gate<br />

C OX<br />

Silicon<br />

3nm<br />

D<br />

IDS S<br />

500nm<br />

Poly-Si<br />

HfSi x O y<br />

Si(100)<br />

Figure 1.15: MOS capacitor made with Poly-Si<br />

as a <strong>gate</strong>, HfSi xO y as dielectric material and<br />

<strong>silicon</strong> substrate. Picture from [Wil00].<br />

The dielectric layer between the <strong>gate</strong> and<br />

<strong>silicon</strong> has to be perfectly controlled, in terms<br />

of thickness, material composition and<br />

cleanliness.<br />

Advanced technologies are now using only few<br />

nanometer thick <strong>gate</strong> oxides, this means only a<br />

few atomic layers. This results in unavoidable<br />

<strong>gate</strong> tunneling current leakage. New dielectrics<br />

are there<strong>for</strong>e investi<strong>gate</strong>d to replace SiO 2 by a<br />

thicker dielectric material, with a higher<br />

permittivity ε, as shown in Figure 1.15.<br />

The <strong>gate</strong> leakage phenomenon is a very annoying effect in scaled-down technology. At V G =1V, a<br />

defect-free <strong>gate</strong>-to-channel capacitor with 3nm of SiO 2 is leaking ~10 -12 A/μm 2 , while the same


24 CHAPTER 1: Introduction<br />

capacitor with 1nm of SiO 2 is leaking ~10 -4 A/μm 2 [Sko05]! Limited leakage is accepted, the<br />

maximum is approximately reached when I GATE =I OFF . A complete description of scaling effects on<br />

<strong>gate</strong> leakage current, including current modeling, is presented in [Wat03].<br />

High-k dielectrics modeling is based on the following two equations. The use of high-k dielectrics<br />

preserves the <strong>gate</strong> oxide capacitance C ox but the tunneling leakage current J G decreases rapidly.<br />

C ox<br />

k ε0 = ----------<br />

JG α exp(<br />

– Tdiel ⋅ φB) T diel<br />

T diel represents the dielectrics thickness, k the relative permittivity of the dielectric (the k-constant of<br />

SiO 2 is 3.9, the k-constant of Si 3 N 4 is 12) and Φ B the potential barrier height measured between the<br />

dielectrics top band and the <strong>silicon</strong> conduction band. The <strong>gate</strong> leakage current is derived from<br />

Fowler-Nordheim’s theory of tunneling.<br />

Parameters to take into account <strong>for</strong> the choice and integration of a high-k dielectric material are:<br />

• High permittivity (typical k-values are ranging from 10 to 30)<br />

• High potential barrier Φ B to keep current leakage low (high band-gap material)<br />

• Minimized defects and charge trapping in the film<br />

• Film morphology: amorphous and epitaxial films are preferred as poly-cryst<strong>all</strong>ine layers<br />

• Process compatibility in a CMOS integration (no thermal degradation)<br />

• Precursor availability (atomic layer deposition or CVD)<br />

• Reliability (ageing of the film caused by injected carriers and high electric field)<br />

• Integration cost<br />

Only a few dielectrics materials fulfill these requirements. Dielectric stacks of SiO 2 and high-k<br />

material are often used because Si/SiO 2 is an excellent channel interface and avoids <strong>silicon</strong> mobility<br />

degradation. Typical materials used in DRAM manufacturing are Ta 2 O 5 HfO 2 or Al 2 O 3 . Intel’s<br />

45nm node is successfully using hafnium silicide as a <strong>gate</strong> dielectric material [Int07b]. The reference<br />

[Wil01] is a very complete review article on high-k dielectrics integration and per<strong>for</strong>mances.<br />

Poly<strong>silicon</strong> <strong>gate</strong> depletion, metal <strong>gate</strong>, <strong>gate</strong> stacks and silicides<br />

Polydepletion<br />

2D <strong>electron</strong>s<br />

in the <strong>gate</strong><br />

Equivalent oxide<br />

thickness<br />

SiO 2 barrier<br />

Darkspace in<br />

the channel<br />

2D <strong>electron</strong>s in<br />

the channel<br />

n+ poly <strong>gate</strong> p Si substrate<br />

Figure 1.16: Schematic of <strong>electron</strong>s distribution<br />

in a n+ poly / SiO 2 / p-<strong>silicon</strong> stack. The<br />

equivalent oxide thickness is much larger than<br />

the physical SiO 2 thickness.<br />

The poly-depletion and darkspace effects cannot be neglected. They reduce the electrical <strong>gate</strong> oxide<br />

capacitance C ox and so limit device per<strong>for</strong>mances (sub-threshold slope, I ON current). Darkspace<br />

results from physical carriers quantization in a 2D plane and cannot be avoided. On the contrary,<br />

poly-depletion can be eliminated with the integration of metal or silicide as <strong>gate</strong> materials.<br />

(1.5)<br />

The material commonly used <strong>for</strong> the <strong>gate</strong> of<br />

MOS devices has been poly<strong>silicon</strong> during many<br />

years. This material has many advantages, like<br />

very easy in-process integration, excellent<br />

thermal reliability and the dual p/n <strong>gate</strong> doping<br />

necessary in CMOS technology.<br />

The trend has now changed. At the nano-scale,<br />

poly<strong>silicon</strong> suffers from the poly-depletion<br />

effect. This parasitic effect is a depletion of<br />

carriers due to <strong>gate</strong> bias.<br />

As explained in Figure 1.16, a n+ poly <strong>gate</strong><br />

used <strong>for</strong> a NMOS device <strong>gate</strong> has <strong>electron</strong><br />

depletion at the <strong>gate</strong> - <strong>gate</strong> oxide interface.<br />

Furthermore, as the inversion charge in a 2D<br />

MOS interface induces also a darkspace in the<br />

channel, this results in an equivalent increase in<br />

<strong>gate</strong> oxide thickness. The Equivalent Oxide<br />

Thickness (EOT) is the sum of poly-depletion<br />

depth, <strong>gate</strong> oxide thickness and <strong>silicon</strong><br />

darkspace.


CMOS scaling and nano-scale CMOS 25<br />

Metal <strong>gate</strong>s are often combined with high-k dielectrics. They also have the advantage to lower the<br />

<strong>gate</strong> sheet resistance, in comparison with poly<strong>silicon</strong>. This is of particular interest in AC<br />

applications. Unlike metal <strong>gate</strong>s, poly<strong>silicon</strong> <strong>gate</strong>s need to be doped (in-situ, by implantation or<br />

diffusion), and induce a doping gradient in the <strong>gate</strong> oxides, that can make them leaky.<br />

The choice of a metal <strong>for</strong> <strong>gate</strong> integration is limited. In order to have a symmetric threshold voltage<br />

<strong>for</strong> both NMOS and PMOS devices in a CMOS technology (V TH_NMOS =-V TH_PMOS ), a N+ -like<br />

metal is needed <strong>for</strong> NMOS devices, while P+ -like are needed <strong>for</strong> PMOS. This is given with the<br />

work-function of the metal used. Typical N+ metals are Al, Ta, Ti, Cr or Mo. The choice <strong>for</strong> P+ <strong>gate</strong>s<br />

is more limited, with candidates like Pt, Re and Ir. A dual-metal <strong>gate</strong> technology <strong>for</strong> deep-submicron<br />

CMOS transistors example is presented in [Qia00].<br />

Alternatives to poly<strong>silicon</strong> and metal exist with <strong>gate</strong> silicidation. A silicide is a compound material of<br />

<strong>silicon</strong> and metal (CoSi 2 , NiSi 2 , WSi 2 ). Silicide <strong>gate</strong>s usu<strong>all</strong>y have a mid-gap work-function and can<br />

be considered <strong>for</strong> both NMOS and PMOS transistors. This is much cheaper, faster and easier to use a<br />

<strong>single</strong> <strong>gate</strong> material in a CMOS technology plat<strong>for</strong>m. The report [Mas02a] is of high interest and<br />

presents an extremely smart <strong>gate</strong> silicidation in CMOS technology.<br />

The effect of threshold voltage control in metal/silicides <strong>gate</strong>s, due to work-function variation, can be<br />

tuned with a proper substrate doping. There<strong>for</strong>e, the integration of metal or silicided <strong>gate</strong>s is a<br />

technology booster, that will more and more be exploited in CMOS technology.<br />

Access resistances<br />

Ide<strong>all</strong>y, the conductance of a MOS transistor depends from its channel resistance only. As device<br />

geometries are scaled down, the different channel access resistances are not negligible anymore. As<br />

displayed in Figure 1.17, the typical access resistance is shared between metal/<strong>silicon</strong> R contact ,<br />

source and drain R S/D and overlap region below the spacer and the <strong>gate</strong> R overlap .<br />

An applied ideal scaling (see Table 1.2) dictates that the channel access resistance should remain<br />

constant. In practice, this is almost unfeasible, mainly due to doping control and limited solubility of<br />

dopant atoms.<br />

Gate Spacer<br />

Metal<br />

R channel R overlap RS/D<br />

Si-bulk<br />

R contact<br />

Figure 1.17: Scheme of different channel access<br />

resistance contributions.<br />

B<strong>all</strong>isticity and source/drain leakage<br />

The major solution developed to keep ohmic<br />

low-resistance contacts is a tight control of the<br />

S/D region doping.<br />

Solutions are coming from implanted ultrash<strong>all</strong>ow<br />

junctions combined with rapid thermal<br />

annealing (RTA) to limit dopants diffusion as<br />

much as possible. S/D silicidation or elevated<br />

contacts (epitaxial <strong>silicon</strong>, <strong>silicon</strong> CVD, SiGe<br />

CVD) are also proposed and successfully<br />

realized.<br />

A detailed study of the role of access<br />

resistances is proposed by [Osb98]. We can also<br />

add, that any type of nano-scale devices (MOS,<br />

but also emerging devices, as further explained)<br />

usu<strong>all</strong>y cause contacting difficulties.<br />

A b<strong>all</strong>istic MOS is a device, where carrier transport from drain to source occurs without any<br />

scattering within the <strong>silicon</strong> lattice i.e. when the carrier mean free path is longer than the channel<br />

length. In short channel devices, a b<strong>all</strong>isticity rate is measured to be up to 50%. However, current<br />

MOSFET are essenti<strong>all</strong>y classical devices.<br />

B<strong>all</strong>istic equations of a MOS devices are usu<strong>all</strong>y based on the resolution of non-equilibrium Green’s<br />

functions (NEGF) [Dat02]. The two opposite trends in b<strong>all</strong>istic devices study are on one hand, that<br />

I ON current is higher than in ohmic channel transistors, but on the other hand, short channel devices<br />

may have leaky S/D tunnel conduction even if the device is turned OFF. Short channel devices with a<br />

high b<strong>all</strong>isticity rate may have enhanced per<strong>for</strong>mances, but they will not be fundament<strong>all</strong>y better. An<br />

in-depth study of carriers transport in nano-scale devices is proposed by [Lun02].


26 CHAPTER 1: Introduction<br />

Invariability of the sub-threshold slope<br />

High I OFF<br />

Drain current,<br />

Log(I ) D<br />

I OFF negligible<br />

V TH reduction<br />

V TH<br />

Figure 1.18: Effect of the invariability of the<br />

sub-threshold slope on devices scaling.<br />

The sub-threshold slope is given by the following equation:<br />

A steep subthreshold slope is a direct signature of a per<strong>for</strong>ming technology. Un<strong>for</strong>tunately, as given<br />

by Equation 1.6, the maximum S-slope at room temperature is about 63mV/dec and does not depend<br />

on dimensions. This means that a <strong>gate</strong> bias >378mV is necessary to turn ON a transistor if 6 decades<br />

on I ON/I OFF are needed.<br />

High per<strong>for</strong>mance devices, using ultra-thin <strong>gate</strong> dielectrics, have a subthreshold slope which is<br />

almost equal to the best achievable. However, as reported by [Gwo02], the S-slope is degraded in<br />

ultra-scaled channel length transistors. This is attributed to the 2D electrostatic profile distribution,<br />

also responsible <strong>for</strong> SCE. Fin<strong>all</strong>y, as explained in the next section, new 3D MOSFET architectures<br />

are often very efficient S-slope boosters.<br />

Noise and device scaling<br />

Equivalent<br />

sub-thresold<br />

slope<br />

L=50nm<br />

Gate voltage, V G<br />

Increased I ON<br />

L=5μm<br />

S ( 10)<br />

kT εSi ⋅ Tox =<br />

ln----- ⎛1+ --------------------- ⎞ ≥ 60mV ⁄ dec<br />

q ⎝ εox ⋅ T ⎠<br />

dep<br />

The sub-threshold slope (S-slope) is an essential<br />

parameter <strong>for</strong> the use of the MOS as a lowvoltage,<br />

low-power switch.<br />

The I ON /I OFF ratio of a MOS has to be as large<br />

as possible, ide<strong>all</strong>y 6 decades or more. As<br />

shown in Figure 1.18, a reduction of<br />

dimensions increases both ON and OFF<br />

currents.<br />

However, the threshold voltage is reduced with<br />

channel length scaling, and this results in a<br />

dramatic OFF current increase.<br />

(room temperature) (1.6)<br />

Electronic noise is parasitic random current variations, exhibited by any <strong>electron</strong>ic devices. There<br />

are different types of noise. Main ones are shot noise, thermal noise and flicker noise (1/f noise).<br />

Scientific definitions of noise in a solid material can be found <strong>for</strong> example in [Kog96].<br />

Regarding the question of scaling, the noise problem cannot be ignored. In many nano-CMOS<br />

references, there is nothing or only a few comments on noise. Any scaling ef<strong>for</strong>t may be lost if the<br />

signal-to-noise ratio (SNR) increases with scaling.<br />

However, some authors have focused a lot of ef<strong>for</strong>t on scaling and noise, and especi<strong>all</strong>y on flicker<br />

noise. It was found that the noise level increases with scaling, but due to the current increase, SNR<br />

ratio decreases. So scaling can be considered as a good way to reduce noise in devices and circuits.<br />

For example, the paper [Che04b] proposes a study on flicker noise <strong>for</strong> channel length ranging from<br />

350 to 130nm, with the conclusion that the shorter the channel, the better the SNR ratio. This paper<br />

has also highlighted the effect of oxynitride dielectric as a possible 1/f noise origin. It describes the<br />

role of nitrogen atoms at Si/SiO 2 interface as a noise generator due to a higher scattering level. As<br />

previously explained, high-k dielectrics are almost unavoidable technology boosters, but may<br />

introduce more noise in the channel. Further discussions in the field of noise vs. scaling and a better<br />

understanding of the origins of noise are of high importance <strong>for</strong> nano-CMOS devices 1 .<br />

1. Even if noise is a noisy subject <strong>for</strong> many people. (Author’s note)


Tri-dimensional MOSFET structures 27<br />

1.4 Tri-dimensional MOSFET structures<br />

The previous Section: CMOS scaling and nano-scale CMOS reviews current limitations and gives<br />

main ideas to increase device per<strong>for</strong>mances, by new materials integration. On the contrary, this<br />

Section: Tri-dimensional MOSFET structures will focus on new architectures that are used in nano<br />

CMOS. The planar bulk geometry is the simplest design that can be used <strong>for</strong> a field-effect transistor.<br />

There are now many studies on tri-dimensional (3D) MOSFET architectures. Some examples are the<br />

<strong>silicon</strong>-on-insulator (SOI) MOSFET, the FinFET and the Gate-All-Around geometry. In most<br />

advanced technologies, a combined use of new materials and new architectures is exploited. Tridimensional<br />

MOSFET architectures can address the following issues:<br />

• Short channel effect and DIBL: better electrostatics (2D to 1D potential distribution)<br />

• Higher cut-off device frequency (increased CV/I)<br />

• Increased device width without consuming large chip area<br />

• Double or multiple independent <strong>gate</strong>s on a same device<br />

• Better sub-threshold slope<br />

• Lithography-free short channel devices<br />

• Integration of strained <strong>silicon</strong> layers<br />

• Immunity against radiations<br />

• Latch-up prevention (parasitic bipolar effect in the bulk)<br />

There are as many technologies as 3D devices! However, the price to pay <strong>for</strong> non-planar bulk<br />

architectures is usu<strong>all</strong>y a higher cost (SOI substrates), an increased number of integration steps, new<br />

difficulties in etching (deep straight trenches), layer deposition (cavities filling) or photolithography<br />

(due to topography). In terms of characterization, 3D devices may suffer from self-heating (on the<br />

contrary, heat conduction on planar <strong>silicon</strong> bulk is excellent), from parasitic fringing effects or from<br />

kink effect (well-known effect in parti<strong>all</strong>y-depleted SOI).<br />

1.4.1 Silicon-on-insulator (SOI)<br />

Silicon-on-insulator is a technology that uses a substrate made up with a stack of a <strong>silicon</strong> substrate,<br />

a buried oxide and a thin <strong>silicon</strong> top layer to build active devices (Figure 1.19). This is now a major<br />

technology <strong>for</strong> next CMOS generations. There are different techniques to fabricate SOI substrates. It<br />

was based initi<strong>all</strong>y on <strong>silicon</strong>-on sapphire (SOS). Since the early 1980s, this was mainly replaced by<br />

SIMOX (separation by implantation of oxygen), BESOI (bond and etch-back SOI) and, more<br />

recently, the so-c<strong>all</strong>ed smart-cut technique. SIMOX is used <strong>for</strong> ultra-thin <strong>silicon</strong> layers while BESOI<br />

is preferred <strong>for</strong> thicker <strong>silicon</strong> layers.<br />

The cryst<strong>all</strong>inity of the film, thickness variation, doping control and interfaces quality are of crucial<br />

importance in SOI MOSFET integration. A review of the different SOI wafers production methods<br />

are described in [Kuo98]. The literature on SOI-based devices and circuits applications is rich and<br />

well-documented.<br />

n+<br />

Gate<br />

p<br />

Silicon substrate<br />

Figure 1.19: Basic architecture of a n-type SOI MOSFET.<br />

n+<br />

Silicon<br />

top layer<br />

Buried<br />

oxide


28 CHAPTER 1: Introduction<br />

The ITRS [Pid06] is deeply focusing on SOI MOSFET, and particularly on fully depleted (FD) ultra<br />

thin body (UTB) SOI transistors <strong>for</strong> logic applications. UTB SOI consists of a <strong>silicon</strong> film as thin as<br />

10nm in the device channel region. Combined with ultra-thin <strong>gate</strong> oxide, this is a nice solution to<br />

retrieve a good electrostatics, and so to limit both SCE and DIBL ([Dor02] L channel =6nm) and to<br />

improve S-slope ([Dor03] S-slope=77mV/dec, L channel =8nm, SOI thickness T Si =8nm).<br />

Designers propose to use a thin BOX layer and a highly doped <strong>silicon</strong> substrate to limit SCE, DIBL<br />

and device self-heating [Fen03]. Experiments on devices with as thin as 5nm of T Si have also been<br />

proposed [Uch02] and show an interesting <strong>electron</strong> mobility increase. However, UTB SOI MOSFET<br />

threshold voltage is highly sensitive to T Si variations and is a very difficult technology.<br />

1.4.2 Double-<strong>gate</strong>, FinFET and Silicon-on-Nothing<br />

The three types of devices presented in this section have <strong>all</strong> a double channel current flow, which is<br />

par<strong>all</strong>el to the surface of the wafer. They also <strong>all</strong> offer a higher equivalent width <strong>for</strong> a limited wafer<br />

surface use. The so-c<strong>all</strong>ed Double Gate structure has two distinct <strong>gate</strong>s that can either be controlled<br />

individu<strong>all</strong>y (independent <strong>gate</strong>s) or not (tied <strong>gate</strong>s). On the contrary, the FinFET and the <strong>silicon</strong>-onnothing<br />

(SON) have a <strong>single</strong> <strong>gate</strong> which controls two Si/SiO 2 interfaces. In the FinFET and SON,<br />

conduction in the <strong>silicon</strong> corners is negligible, due to the channel aspect ratio or spacer isolation.<br />

D<br />

Double Gate<br />

Figure 1.20: Double-Gate, FinFET and Silicon-on-Nothing device geometries.<br />

As shown in Figure 1.20 (left), a double-<strong>gate</strong> transistor is a device with two par<strong>all</strong>el <strong>gate</strong>s. As in SOI<br />

devices, an ultra-thin channel <strong>silicon</strong> thickness results in a fully depleted device, a thicker one in a<br />

parti<strong>all</strong>y depleted device. The best electrostatics is observed in UTB double <strong>gate</strong> transistors, with<br />

limited SCE and DIBL, and also with a good S-slope. This results from the top <strong>gate</strong> - bottom <strong>gate</strong><br />

charge coupling [Fos02]. Both simulated and measured speed per<strong>for</strong>mances are better than <strong>single</strong><strong>gate</strong><br />

SOI. The comparison factor with planar CMOS is the C oxV DD/I ON (CV/I) constant.<br />

In SOI, the substrate can also occasion<strong>all</strong>y acts as a second <strong>gate</strong>, but with poorer per<strong>for</strong>mances, due<br />

to the thick BOX thickness and the non-controlled quality of the BOX/SOI interface. The operating<br />

mode of an independent double-<strong>gate</strong> transistor consists in tuning the threshold voltage (dynamic V TH<br />

control) with one <strong>gate</strong> and switching the transistor with the second one [Yan97]. A dynamic control<br />

of V TH leads to a lower OFF current.<br />

Different fabrication technologies are proposed. Wafer bonding is an efficient technique but this is<br />

costly and extremely difficult to perfectly align the two <strong>gate</strong>s. A process description and<br />

misalignment issues are discussed in [Wid04]. Auto-aligned <strong>single</strong>-wafer processes are also<br />

proposed, <strong>for</strong> example [Zha03] uses this technique. Un<strong>for</strong>tunately, in the report of [Zha03], the<br />

channel is a deposited amorphous <strong>silicon</strong> with a reduced mobility. Auto-aligned double-<strong>gate</strong><br />

transistors integrated with a 25nm Si epitaxial growth have successfully been realized [Won97]. The<br />

ch<strong>all</strong>enges <strong>for</strong> an optimal double-<strong>gate</strong> integration are (i) a uni<strong>for</strong>mly, thin (10 - 25nm) Si channel, (ii)<br />

a low access S/D resistance and (iii) a perfectly aligned (ide<strong>all</strong>y auto-aligned) top-bottom <strong>gate</strong>s<br />

technique.<br />

FinFET<br />

I DS<br />

Top Gate<br />

Bottom Gate<br />

S<br />

Double Gate<br />

BOX<br />

Si<br />

substrate<br />

I DS<br />

Fin Gate<br />

Si epitaxial<br />

bridge<br />

D<br />

Si substrate<br />

FinFET Silicon-on-Nothing<br />

The FinFET is a possible double-<strong>gate</strong> architecture. It consists of a thin and high trench (c<strong>all</strong>ed fin) of<br />

<strong>silicon</strong> etched in a SOI wafer. Then a <strong>gate</strong> is wrapped <strong>around</strong> the channel, as shown in Figure 1.20<br />

(center). Usu<strong>all</strong>y, a hard mask or a thick dielectric layer is kept over the fin to cancel any conduction<br />

Gate<br />

I DS<br />

S<br />

STI


Tri-dimensional MOSFET structures 29<br />

on the <strong>silicon</strong> top interface. The current flows at the Si/SiO 2 interface on both the lateral sides of the<br />

trench. An efficient feasibility of sub-50nm channel length FinFET has been recently (2001)<br />

demonstrated [Hua01]. The high interest on FinFET is the consequence of some unique<br />

characteristics of this architecture:<br />

• The two lateral <strong>gate</strong>s are always auto-aligned (a <strong>single</strong> <strong>gate</strong> shared on the two sides)<br />

• Raised S/D contacts with low access resistance<br />

• Excellent short channel behavior (down to 10nm)<br />

• High-current devices with par<strong>all</strong>el Fin structures<br />

• Over<strong>all</strong> dimensions are very limited<br />

For the moment, as in <strong>all</strong> 3D MOSFET architectures, many different technological approaches have<br />

been proposed. The main drawbacks of the FinFET are the difficulty to lithographic<strong>all</strong>y define and to<br />

etch thin and short <strong>silicon</strong> trenches on SOI wafers. Electron-beam lithography followed by resist<br />

trimming and oxide hard-mask trimming <strong>all</strong>ow to obtain as sm<strong>all</strong> as 20nm fin width [Cha03].<br />

Some valuable alternatives to the FinFET have also been very recently investi<strong>gate</strong>d. For example,<br />

Toshiba has developed a sidew<strong>all</strong> transfer process [Kan05] <strong>for</strong> sub-15nm channel length and 10nm<br />

fin width with a double fin density. The same group [Oka05] has also proposed a FinFET on bulk<br />

(L channel =20nm, Fin_height=6nm) with resist trimming and sacrificial oxidation in their process.<br />

Fin<strong>all</strong>y, it was presented an operational 5nm channel length nanowire FinFET [Yan04], with a special<br />

focus on full 3D quantum simulation of the device.<br />

Silicon-on-nothing<br />

Silicon-on-nothing (SON) is an innovative process developed by ST Micro<strong>electron</strong>ics at the end of<br />

the 1990s. The fabrication process, done on bulk Si wafer, is based on the epitaxial growth of a<br />

<strong>silicon</strong> ultra-thin nano-bridge over a SiGe layer. Then, as displayed in Figure 1.20 (right), the <strong>silicon</strong><br />

bridge is released from SiGe and a <strong>gate</strong> wrapped <strong>around</strong> the two sides of the <strong>silicon</strong> bridge is defined.<br />

Voids are filled with oxide, resulting in a local-SOI structure, where only the region below the<br />

channel is isolated from the <strong>silicon</strong> substrate [Jur00].<br />

The SON process is taking a lot of advantages of the efficient growth of Si layer over a handling<br />

SiGe layer, and to the possibility then to selectively remove SiGe by isotropic dry etching. As Si and<br />

SiGe do not have the same lattice constant, the grown Si layer is under stress, resulting in a possible<br />

higher carrier mobility. Strained <strong>silicon</strong> is exploited in the SON process, as well as in other<br />

technologies. [Che04a] and [Ali00] have <strong>for</strong> example proposed Si/SiGe multiple layers as a new<br />

material architecture, using Si quantum wells as MOSFET channels.<br />

Compared to UTB SOI MOSFET, the SON process does not suffer from self-heating and has lower<br />

S/D access resistances. Moreover, SON devices have a high immunity to SCE and DIBL, and a<br />

close-to-ideal S-slope. SON transistors with a 80nm channel length integrated on a 20nm thick Si<br />

epitaxial bridge have been measured [Mon01] and have about ~30% better I ON in comparison with<br />

planar bulk CMOS. Coulomb Blockade oscillations have also been reported in SON devices<br />

[Mon03]; this will be discussed in detail in the next sections on emerging devices.<br />

The concept of germanium on nothing has been recently proposed. The paper [Bat07] shows how a<br />

thin SiGe film on insulator, used as MOS channel, may improve the device electrostatic integrity.<br />

Silicon-on-nothing is there<strong>for</strong>e a highly valuable technology, that can be scaled down to <strong>gate</strong>-<strong>all</strong><strong>around</strong><br />

geometry. However, the large number of integration steps and a high fabrication cost may<br />

slow down SON industrialization.<br />

1.4.3 The vertical MOSFET<br />

In a vertical MOSFET device, the current flow is orthogonal to the surface of the wafer. A stack of<br />

n+ p n+ <strong>silicon</strong> (in case of a NMOS device) is made by epitaxial growth or implantation; and then a<br />

<strong>gate</strong> is defined <strong>around</strong> the structure. This architecture has proved to be a realistic approach to sub-<br />

100nm channel length [Jos01] devices.<br />

Since then, many different architectures have been proposed, using high-k dielectrics [Her01] or<br />

ultra-thin <strong>silicon</strong> channel. A 15nm L channel in a vertical <strong>gate</strong> MOSFET has recently been<br />

demonstrated by [Mas02b]. The vertical MOSFET shows better per<strong>for</strong>mances than bulk planar<br />

devices and is an appealing structure, even if there is no leading design or fully optimized fabrication<br />

process <strong>for</strong> the moment.


30 CHAPTER 1: Introduction<br />

Gate<br />

oxide Oxide<br />

Gate<br />

Oxide<br />

Figure 1.21: A vertical MOSFET geometry, as proposed by [Her01]. The channel length is defined<br />

by the distance between the two S/D junctions. The transistor width depends on the layout used.<br />

An alternative architecture - c<strong>all</strong>ed the vertical sidew<strong>all</strong> MOSFET - exploits a thin <strong>silicon</strong> trench as<br />

channel of the transistor [Sch01]. The drain is on the top of the trench, but the source is placed<br />

later<strong>all</strong>y, and is not buried below the channel. This process uses fewer integration steps in<br />

comparison with a pure vertical MOSFET geometry, and S/D contacts are easier to achieve. The<br />

compatibility with planar MOSFET is also improved.<br />

1.4.4 Tri-Gate, Pi-Gate and Omega-Gate<br />

Tri-<strong>gate</strong>, Pi-<strong>gate</strong> and Omega-<strong>gate</strong> are <strong>gate</strong> architecture variants, essenti<strong>all</strong>y originating from the<br />

FinFET geometry, but with a larger fin width. Conduction in the top of the fin is not negligible<br />

anymore. All these structures are characterized by a triple-side current flow controlled by a tied <strong>gate</strong><br />

<strong>around</strong> the channel. The merit of these different architectures has been reported the first time by J.-P.<br />

Colinge [Par01a].<br />

Many publications are investigating the tri-<strong>gate</strong> structure. Well-described results, including a<br />

complete characterization of devices are reported in [Doy03]. A 36nm high and 55nm wide <strong>silicon</strong><br />

trench etched on a SOI wafer, with a 60nm tri-<strong>gate</strong> length has given excellent results in comparison<br />

with planar devices. The subthreshold slope of NMOS devices is 68mV/dec and the complete<br />

absence of kink effects indicates that the device is fully depleted. ON-current is 1.14 mA/μm while<br />

OFF-current is very low 70nA/μm. A more general evaluation on how to keep Moore’s law living<br />

was given at ISSCC by S. Chou [Cho05]. 3D-MOSFET geometries are focusing a lot of attention,<br />

including the tri-<strong>gate</strong> architecture with multiple <strong>silicon</strong> channels in par<strong>all</strong>el.<br />

Fin<strong>all</strong>y, a very recent contribution has successfully combined material boosters (HfO 2 high-k<br />

dielectrics, metal <strong>gate</strong> and strain channel) in a tri-<strong>gate</strong> process [Kav06] with 40nm channel length<br />

and excellent SCE immunity.<br />

Pi-<strong>gate</strong> is a tri-<strong>gate</strong> structure with the two lateral <strong>gate</strong> sides extending into the buried oxide [Par01b].<br />

The reason to extend the <strong>gate</strong> is to obtain an electrostatic as close as possible to a <strong>gate</strong>-<strong>all</strong>-<strong>around</strong><br />

geometry, but with a much easier integration process. If the dimension of the <strong>silicon</strong> fin is sm<strong>all</strong><br />

enough, it was shown by simulation that the lower part of the two lateral <strong>gate</strong>s have almost the same<br />

influence has a fourth back <strong>gate</strong>.<br />

Figure 1.22: Ω-<strong>gate</strong> device schematic.<br />

n+ top drain<br />

I DS<br />

p-Si<br />

I DS<br />

n+ bottom source<br />

Oxide<br />

Handling substrate<br />

Gate<br />

Oxide<br />

Omega-<strong>gate</strong> is another tri-<strong>gate</strong> variant which is<br />

the closest to the <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> architecture.<br />

The paper [Yan02] presents a 25nm channel<br />

length tri-<strong>gate</strong> process including a notch underetched<br />

in the buried oxide. Then a con<strong>for</strong>mal<br />

poly<strong>silicon</strong> <strong>gate</strong> is deposited, resulting in an<br />

Omega (Ω) <strong>gate</strong> shape.<br />

EPFL has also proposed original results <strong>for</strong><br />

impact ionization effects on Ω-<strong>gate</strong> devices.<br />

This has been proposed at ESSDERC [Mos07].<br />

A bulk Ω-device geometry is shown in Figure<br />

1.22.


Tri-dimensional MOSFET structures 31<br />

1.4.5 The <strong>silicon</strong> nanowire <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> architecture<br />

The <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> (GAA) architecture is an advanced MOSFET geometry where the <strong>silicon</strong><br />

channel is completely surrounded by a <strong>gate</strong>. As displayed in Figure 1.23, three different cross-section<br />

shapes are represented. GAA devices will be described in detail in this thesis report because this is<br />

the geometry chosen <strong>for</strong> the co-integration of both SET and CMOS in a <strong>single</strong> technology process.<br />

GAA are excellent devices, in terms of SCE, DIBL, S-slope and I ON /I OFF ratio. GAA main<br />

drawbacks usu<strong>all</strong>y are <strong>for</strong> the moment a highly difficult fabrication process. This fabrication is often<br />

based on the realization of <strong>silicon</strong> <strong>nanowires</strong>, acting as core of the device, and followed by <strong>gate</strong><br />

oxidation and <strong>gate</strong> material deposition. The channel cross-section is also crucial <strong>for</strong> the operation of<br />

the device. A sm<strong>all</strong> cross-section results in a ’’volumic’’ fully depleted nanowire, while larger crosssection<br />

operate as parti<strong>all</strong>y depleted. Corners in the wire (in rectangular or triangular) contribute to<br />

corner effects, and are considered either as parasitic (double threshold voltage) or sometimes as<br />

beneficial effects (lower threshold voltage, local volume inversion). Gate-<strong>all</strong>-<strong>around</strong> <strong>silicon</strong><br />

<strong>nanowires</strong> are also highly suitable structures in <strong>single</strong> <strong>electron</strong>ics. A sm<strong>all</strong> cross-section results in a<br />

2D carrier confined quantum wire, while an ultra-short <strong>silicon</strong> wire acts as a 3D quantum box with<br />

discrete energy levels. Potential applications are large and will be deeply discussed in next chapters.<br />

Channel<br />

length<br />

I DS<br />

Rectangular<br />

cross-section<br />

Triangular<br />

cross-section<br />

Gate<br />

oxide<br />

Silicon<br />

core<br />

Circular<br />

cross-section<br />

Figure 1.23: Three geometries of <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> architectures, with different cross-section shapes.<br />

From 1990 to 2000, authors have mainly been interested in <strong>electron</strong>ic properties modeling using<br />

quantum mechanics, and many publications were still disconnected from any device applications<br />

[Nee94]. The <strong>silicon</strong> band-gap calculation in a <strong>silicon</strong> quantum wire was proposed the first time in<br />

1993 by [She93].<br />

The first GAA transistor on SOI was presented very early at IEDM 1990 by J.-P. Colinge [Col90].<br />

Despite large dimensions (W/L=3μm/3μm) and a thick 50nm <strong>gate</strong> oxide, these pioneer devices show<br />

correct characteristics. The device fabrication is very simple. A thin SOI wire is lithographic<strong>all</strong>y<br />

defined and etched, that step is followed by the release of the wire from the buried oxide. The <strong>gate</strong><br />

used is LPCVD poly<strong>silicon</strong>.<br />

Another remarkable GAA MOS transistor was later proposed in 1997 by the Minneapolis University<br />

[Leo97]. This is one of the first reports that includes a complete description of GAA per<strong>for</strong>mances.<br />

Dimensions of the nanowire are in agreement with ITRS 1997 requirements. The wire has a<br />

rectangular cross-section (50nm height x 35-75nm width), the minimum wire length is 70nm and the<br />

<strong>gate</strong> oxide thickness is 11nm. Poly<strong>silicon</strong> is also used as <strong>gate</strong> oxide material. The characteristics<br />

extracted are good, with a 90mV/dec S-slope. A multiple-channel design is also proposed.<br />

Since then, many optimized designs have been reported. We can refer to [Son06] and [Suk05] as two<br />

excellent GAA CMOS technology reports. The paper [Son06] makes a comparison between a<br />

double-<strong>gate</strong> and a GAA geometry, and demonstrates how GAA boosts device per<strong>for</strong>mances. Second,<br />

this report is also making a comparison between a rectangular and a circular channel cross-section,<br />

showing that a cylindrical <strong>silicon</strong> channel has a much more reduced OFF current and lower SCE and<br />

DIBL, due to the absence of corner effects. Results published by [Suk05] are also of great interest<br />

and present a process <strong>for</strong> the integration of twin <strong>silicon</strong> nanowire MOSFET using a self-aligned<br />

damascene process. They obtained circular 5-10nm diameter GAA devices with 30nm <strong>gate</strong> length,<br />

resulting in a remarkably high 2.64mA/μm ON-current <strong>for</strong> n-type devices.<br />

Gate


32 CHAPTER 1: Introduction<br />

Fin<strong>all</strong>y, this is also worth to name reports which do not directly focus on advanced CMOS<br />

applications. For example, a group from Singapore University [Sin06] has very recently (IEDM<br />

2006) emphasized the impact of channel diameter, crystal orientation and low-temperature<br />

characteristics on GAA device per<strong>for</strong>mances, showing especi<strong>all</strong>y the occurrence of I D -V G<br />

oscillations at low temperature.<br />

The last example we would like to report is a bottom-up approach proposed by [Web06]. Silicon<br />

nanowire transistors with a diameter ranging from 10 to 30nm and nickel-silicide contacts are<br />

successfully integrated and measured. These devices exhibit up to 7 decades I ON /I OFF ratio. The<br />

process used by [Web06] is very innovative and shows that there is up to now no established GAA<br />

technology.<br />

More specific EPFL results obtained during this work are described in the next chapters. All things<br />

considered, it re<strong>all</strong>y seems that the GAA is the best actual architecture to sustain Moore’s law.<br />

1.4.6 The tunnel FET<br />

The tunnel FET is at the boundary between advanced CMOS architectures and emerging devices. It<br />

has the same three-terminal structure as a MOSFET transistor, but source and drain usu<strong>all</strong>y have an<br />

opposite doping (p+ source and n+ drain) and the channel of the transistor is low doped (p- or<br />

intrinsic).<br />

The tunnel FET operates the following way: when the p-i-n diode is reverse-biased, the <strong>gate</strong> controls<br />

band-to-band tunneling from the valence band in the heavily doped p+ source region to the<br />

conduction band in the channel. The vertical architecture has often been proposed <strong>for</strong> easier process<br />

integration. An interesting report on tunnel FET scalability is reported in [Bhu05], including device<br />

structure and simulation. Advantages reported in this architecture are:<br />

• A perfect I D -V D saturation<br />

• An exponential I D -V G dependence<br />

• A quasi-free current temperature dependence<br />

• A very low I OFF (


Technology <strong>hybrid</strong>ization with emerging devices 33<br />

1.5 Technology <strong>hybrid</strong>ization with emerging devices<br />

The last section of this introduction is a study of emerging devices (ED) and their use in <strong>hybrid</strong><br />

technologies. Emerging devices are solid-state non-CMOS <strong>electron</strong>ic devices, that are developed <strong>for</strong><br />

logic applications. There are many types of ED, the most well-known are certainly carbon nanotubes<br />

(CNT) and <strong>single</strong> <strong>electron</strong> transistors (SET). Any 3-terminal <strong>electron</strong>ic device that contains at least<br />

one tunable potential barrier - to control carrier transport - is a potential post-CMOS device. Circuit<br />

design also has to be completely re-evaluated in order to fit with specific device advantages and<br />

limitations. All requirements (size, power consumption, speed,...) that ED should satisfy are listed in<br />

the Section 1.5.1.<br />

The biggest difference between CMOS technology and emerging technologies is probably the high<br />

diversity of physical effects used to process logic in<strong>for</strong>mation. For example, carrier transport laws in<br />

carbon nanotubes, in <strong>single</strong> <strong>electron</strong> transistor and in spin-based transistors are completely different.<br />

This results in a wider range of ch<strong>all</strong>enges to solve, in comparison with the highly mature and stable<br />

CMOS technology. ED have focused attention <strong>for</strong> more than 20 years, and astonishingly the list of<br />

devices is still long. The ideal post-CMOS device is <strong>for</strong> the moment not established. It might also<br />

happen that no ED will beat future ultra-optimized nano-CMOS. What seems surer is that the types<br />

and classes of ED will not increase. On the contrary, it is possible that R&D on some ED will slowly<br />

stop. This is the price to pay <strong>for</strong> a lack of per<strong>for</strong>mances and reliability.<br />

Furthermore, researchers studying ED are not guided by any equivalent Moore’s law 1 . As displayed<br />

in Figure 1.24, the evolution from CMOS to emerging devices is difficult to be predicted.<br />

Another specific difference between ED and CMOS is the quasi-independence of ED vs. scaling.<br />

They are intrinsic<strong>all</strong>y nano-scale devices (carbon nanotubes) or can operate only at nano-size (SET).<br />

Any type of equivalent Moore’s law on scaling <strong>for</strong> ED has no sense. Only per<strong>for</strong>mances comparison<br />

is considered, and this is the goal set by the ITRS, in the Emerging Research Device section [Erd05].<br />

A nice summary of emerging devices ch<strong>all</strong>enges and key points was proposed in 2005 by V. Zhirnov<br />

[Zhi05]. A detailed review of semiconductors with and after CMOS was edited in 2005 by A.<br />

Ionescu [Ion05].<br />

Feature size<br />

100μm<br />

10μm<br />

1μm<br />

100nm<br />

10nm<br />

1nm<br />

CMOS<br />

CMOS IC evolution<br />

Transition region<br />

Quantum devices<br />

Atomic dimensions<br />

Emerging<br />

devices<br />

1960 1980 2000 2020 2040<br />

Year<br />

Figure 1.24: CMOS scaling and future emerging devices, adapted after J. D. Plummer [Plu01].<br />

In terms of technology and fabrication process, <strong>all</strong> emerging devices essenti<strong>all</strong>y use the tools<br />

developed <strong>for</strong> IC manufacturing. Even non-<strong>silicon</strong>-based devices (like CNT or molecular devices)<br />

have an integration process based on surface micro-machining. Some specific fabrication facilities<br />

have however been developed <strong>for</strong> ED, mainly in a bottom-up approach (AFM tips <strong>for</strong> carbon<br />

nanotubes handling). Emerging devices classification, new materials developed and specific<br />

integration tools are described in the next sections.<br />

1. Engineers working on emerging devices navi<strong>gate</strong> without a lighthouse! (comment by T. Skotnicki)<br />

CMOS<br />

Emerging<br />

devices


34 CHAPTER 1: Introduction<br />

Logic devices classification<br />

Many types of micro-<strong>electron</strong>ic devices have been proposed. Figure 1.25 follows from the ITRS<br />

classification. The two main classes are CMOS (non-classical, evolutive, etc.) and alternative non-<br />

CMOS devices. Inside these two families, different devices and geometries families are considered.<br />

This classification needs of course to be re-evaluated at least once a year.<br />

OTHER<br />

DEVICES<br />

ORGANIC<br />

TRANSISTORS<br />

FERROELECTRIC<br />

TUNNEL FET<br />

CMOS<br />

NON-CLASSICAL<br />

CMOS<br />

OPTIMIZED<br />

SCALING<br />

SILICON ON<br />

INSULATOR<br />

FinFET<br />

VERTICAL<br />

MOSFET<br />

DOUBLE GATE<br />

TRI-GATE<br />

GATE-ALL-<br />

AROUND<br />

Figure 1.25: Classification of micro-<strong>electron</strong>ics devices. The two main families are CMOS and<br />

quantum nano<strong>electron</strong>ic devices.<br />

1.5.1 What makes a logic family efficient?<br />

CMOS technology is ideal <strong>for</strong> in<strong>for</strong>mation processing. Any class of new devices should ide<strong>all</strong>y<br />

fulfill <strong>all</strong> the characteristics summarized below. Detailed references can be found in [Bec02],<br />

[Was05] and [Llo00]. All ED have specific advantages and limitations. However, MOS devices (and<br />

particularly nano-CMOS) still have the best characteristics.<br />

1. Requirements <strong>for</strong> logic operations:<br />

MICRO-ELECTRONIC<br />

DEVICES FOR LOGIC<br />

APPLICATION<br />

EVOLUTIVE<br />

CMOS<br />

STRAINED<br />

SILICON<br />

SiGe<br />

ULTRA-<br />

SHALLOW<br />

JUNCTIONS<br />

HIGH-K<br />

DIELECTRICS<br />

METAL GATE<br />

QUANTUM<br />

NANOELECTRONIC<br />

SOLID-STATE MACRO-MOLECULAR<br />

NANOELECTRONIC DEVICES<br />

COULOMB<br />

BLOCKADE<br />

DEVICES<br />

SPINTRONICS<br />

QUANTUM<br />

CELLULAR<br />

AUTOMATA<br />

RESONANT<br />

TUNNELING<br />

DEVICES<br />

FERROMAGNETIC<br />

LOGIC<br />

CARBON<br />

NANOTUBES<br />

MOLECULAR<br />

DEVICES<br />

DNA<br />

CONDUCTION<br />

• Non-linear characteristics:<br />

A non-linear characteristic is required in logic <strong>gate</strong>s, in order to maintain a high enough<br />

signal-to-noise ratio. A strong non-linear system is less sensitive to noise and so the<br />

distinction between ’’0’’ and ’’1’’ states is easier. The CMOS inverter is an example of a<br />

non-linear operation obtained in CMOS technology.<br />

• Power amplification:<br />

Power amplification is necessary in order to maintain a sufficient signal level during logic<br />

computing. Signal amplification also serve <strong>for</strong> noise rejection. In the case of digital<br />

CMOS, amplification means both voltage and current amplification. Current amplification<br />

is mandatory <strong>for</strong> FAN-OUT.<br />

• Concatenability:<br />

This means that input and output signal must be compatible. For example, if input is<br />

electrical and output is optical, then transduction is mandatory. Neurologic computing use<br />

<strong>for</strong> example both chemical and electrical signals.<br />

• Feedback prevention:<br />

The flow of operation must be in one direction.<br />

• Complete set of boolean operators:<br />

A minimum set of logic operations are necessary to build a logic system, <strong>for</strong> example OR<br />

and AND <strong>gate</strong>s, or NAND and NOR <strong>gate</strong>s.


Technology <strong>hybrid</strong>ization with emerging devices 35<br />

2. Par<strong>all</strong>elism at different hierarchical levels:<br />

A high level of par<strong>all</strong>el operations is needed to have fast and efficient computation. Some<br />

devices, like <strong>nanowires</strong> or nanotubes, have ideal intrinsic morphology <strong>for</strong> par<strong>all</strong>el and<br />

matrix operations.<br />

3. Emphasis on interconnect:<br />

As the number of devices increases, interconnect management is getting more and more<br />

complicated, and this problem should be clearly addressed.<br />

4. Defect and fault tolerant:<br />

Various circuits and systems design techniques have been proposed to have defect- and<br />

fault-tolerant architectures. This is particularly important with nano-scale devices where<br />

reliability is an issue [Sch04].<br />

5. Architecture flexibility:<br />

Circuits designers ask a lot of flexibility <strong>for</strong> many different types of applications.<br />

6. Reliability:<br />

CMOS technology has excellent reliability. Any technology based on emerging devices<br />

should also demonstrate as good a reliability as possible.<br />

7. Low power:<br />

Device power dissipation has to be controlled. Many systems have limited access to power<br />

supply systems (autonomous systems) and <strong>electron</strong>ic components have to be protected<br />

from over-heating resulting from power dissipation (supplied systems).<br />

8. High speed:<br />

The speed of an <strong>electron</strong>ic system is directly dependent from the speed of the devices<br />

used.<br />

9. Sm<strong>all</strong> surface and volume:<br />

The surface and the volume of integrated circuits should be as sm<strong>all</strong> as possible. A level of<br />

integration of 10 9 devices per chip has recently been exceeded.<br />

10. Room temperature operation:<br />

This requirement is particularly important in <strong>single</strong> <strong>electron</strong>ics, where the function of<br />

devices at room temperature is a major issue. MOS devices are operational devices at<br />

room temperature, even if their per<strong>for</strong>mances are better at low temperature (steeper subthreshold<br />

slope and higher carrier mobility).<br />

11. Modeling and physics:<br />

CMOS is a mature technology, the physics of the MOS transistor is well described,<br />

especi<strong>all</strong>y in long-channel devices where quantization effects are negligible. High-density<br />

CMOS circuits are simulated in various commercial softwares. There is no chance <strong>for</strong> any<br />

new or nano-scale devices to be integrated in any high-density circuits if the physics of the<br />

device is not perfectly known, and if there are also no efficient simulation tools.<br />

12. Cost, energy and environment:<br />

There is no chance <strong>for</strong> any technology if the fabrication cost is not strictly controlled.<br />

Investments <strong>for</strong> advanced integration facilities are huge, there are only a few companies<br />

world-wide that can produce up-to-date IC. Any mistake in the choice of a technology<br />

generation can freeze developments <strong>for</strong> many years.<br />

Furthermore, considerations such as (i) energy power saving during fabrication, (ii) timeto-market<br />

<strong>for</strong> new technology, (iii) impact on environment, (iv) material recycling and (v)<br />

social fairness - are also crucial engineers responsibilities. ITRS has a roadmap <strong>for</strong><br />

environment, safety and health: [Esh06].


36 CHAPTER 1: Introduction<br />

1.5.2 The <strong>single</strong> <strong>electron</strong> transistor<br />

The <strong>single</strong> <strong>electron</strong> transistor (SET) is a three-contact <strong>electron</strong>ic device, that fulfill a switch function<br />

based on the phenomenon of Coulomb Blockade. An SET can theoretic<strong>all</strong>y control the transfer of a<br />

few or even a <strong>single</strong> <strong>electron</strong> charge from source to island, and from island to drain. A <strong>single</strong> <strong>electron</strong><br />

transistor is made up with the following parts (see Figure 1.26):<br />

• An island (the <strong>single</strong> <strong>electron</strong> box):<br />

The island is the central quantum dot of the device. The island material needs to be<br />

conductive. In theory, any metal or semiconductor can fit SET requirements, but in<br />

practice, <strong>silicon</strong> is widely used. Furthermore, in order to be charge sensitive, the size of the<br />

island should be as sm<strong>all</strong> as possible (a few nanometers), especi<strong>all</strong>y <strong>for</strong> room temperature<br />

operation. In the literature, SET refers to mono-island <strong>single</strong> <strong>electron</strong> transistor. Multiple<br />

island <strong>single</strong> <strong>electron</strong> devices are described in the Section 1.5.3.<br />

• Drain and Source:<br />

Drain and source are the two carrier reservoirs, like in a MOS transistor.<br />

• Two tunnel junctions:<br />

A big difference between MOSFET and SET is that MOSFET pn junctions are replaced<br />

by tunnel junctions, between drain and island, and between island and source. These<br />

junctions <strong>all</strong>ow the blockade of charges in the island. Different ways to induce tunnel<br />

junctions have been evaluated, the main ones are nano-constrictions and Fowler-<br />

Nordheim tunneling through ultra-thin dielectric layers.<br />

• A <strong>gate</strong>:<br />

The <strong>gate</strong> is capacitively coupled to the island (capacitive SET) and controls the island bias<br />

to turn ON/OFF the transistor. Double-<strong>gate</strong>, multiple-<strong>gate</strong> or GAA are also investi<strong>gate</strong>d.<br />

I DS<br />

Drain Source<br />

Gate<br />

Tunnel<br />

junctions<br />

Island<br />

Figure 1.26: Conceptual 3D schematic of a mono-island <strong>single</strong> <strong>electron</strong> transistor.<br />

In an SET, charge carriers are <strong>electron</strong>s. The concept of <strong>single</strong> hole transistor has also been proposed<br />

and studied [Tan00]. The detailed physics of carrier transport in an SET, based on Orthodox Theory<br />

<strong>for</strong>mulation, is discussed in the next chapter.<br />

Gate<br />

C G<br />

Drain<br />

Source<br />

C , R TD TD<br />

Tunnel<br />

Island<br />

junctions<br />

C TS , R TS<br />

CTD : Drain tunnel junction capacitance<br />

CTS : Source tunnel junction capacitance<br />

CG : Gate capacitance<br />

RTD : Drain tunnel junction resistance<br />

RTS : Source tunnel junction resistance<br />

Figure 1.27: The three terminals of a <strong>single</strong> <strong>electron</strong> transistor and associated parameters.<br />

I DS


Technology <strong>hybrid</strong>ization with emerging devices 37<br />

The two conditions to observe <strong>single</strong> <strong>electron</strong> characteristics are:<br />

• Charging energy:<br />

As the size of a <strong>single</strong> <strong>electron</strong> box decreases, then the energy required to add a <strong>single</strong><br />

excess charge to the dot increases. If the size is sufficiently sm<strong>all</strong> and if the charging<br />

energy is much higher than the thermal energy, no <strong>electron</strong> can tunnel to and from the<br />

quantum dot. Thus, the number of excess charges inside the island takes a discrete value.<br />

This electrostatic effect that blocks charges in the island is c<strong>all</strong>ed Coulomb Blockade<br />

(CB). CB is observed in a <strong>single</strong> <strong>electron</strong> box only if the condition expressed by Equation<br />

1.7 is fulfilled, where C Σ is the capacitance of the quantum dot (C Σ =C G +C TD +C TS ), k B<br />

the Boltzmann constant and T the temperature of the system.<br />

In an SET, the <strong>gate</strong> controls the potential of the island. If a positive bias is applied to the<br />

<strong>gate</strong>, the tunneling probability from source to island increases and an extra <strong>electron</strong> can be<br />

stored in the island, increasing the charging level of the island. If the <strong>gate</strong> voltage bias is<br />

reduced, then this extra charging <strong>electron</strong> is released and the charging level inside the<br />

island decreases. The current flow is thus controlled by a discrete charging-decharging<br />

electrostatic transfer.<br />

• Tunnel resistance:<br />

In order to work properly, the tunnel junction resistance should be higher than the<br />

quantum of resistance R Q =h/e 2 =26kΩ. This condition is a consequence of the application<br />

of Heisenberg’s uncertainty principle. The product of the quantum dot charging energy<br />

ΔW~e 2 /C Σ by the time constant of the charging through the tunnel junction Δt=R T C T has<br />

to be higher than Planck’s constant h. This results in the condition given by Equation 1.8.<br />

e 2<br />

--------- > k<br />

2C BT Σ<br />

The direct consequence of Equation 1.7 is that SET are functional only at very low temperature or if<br />

the capacitance C Σ is extremely sm<strong>all</strong>. If we consider a room temperature (RT=300K) operating<br />

system, and a factor of 10 to get rid of thermoionic emission, then we can write the charging energy<br />

as e 2 /2C Σ=10k BT, and fin<strong>all</strong>y we extract a quantum dot capacitance as sm<strong>all</strong> as 0.6aF!<br />

The capacitance of a conductive spherical dot is calculated by using Equation 1.9, with ε the<br />

permittivity and r the radius of the dot [Was01]. If we consider <strong>silicon</strong> dioxide as the dielectric<br />

(ε=3.9ε 0), then we find that an island capacitance of 0.6aF corresponds to a radius as sm<strong>all</strong> as 1.4nm.<br />

Thus, an island with a maximum diameter on the order of 1-2nm is needed <strong>for</strong> ambient operation.<br />

About 1nm SET island diameter is needed <strong>for</strong> proper room temperature logic applications. The<br />

maximum operating temperature at which a <strong>silicon</strong> island SET can properly work under Coulomb<br />

Blockade is c<strong>all</strong>ed the Kirihara’s criteria [Kir94] and is given by Equation 1.10.<br />

C Σ<br />

Operation of <strong>single</strong> <strong>electron</strong> transistors<br />

(1.7) RT (1.8)<br />

e 2<br />

><br />

e<br />

(1.9) (1.10)<br />

2<br />

If the size of the SET island and the temperature are sm<strong>all</strong> enough to meet Kirihara’s criteria, then<br />

Coulomb Blockade characteristics are visible.<br />

The plots in Figure 1.28 are Monte Carlo simulation of the I D -V G (left) and I D -V D (right)<br />

characteristics of a <strong>single</strong> <strong>electron</strong> transistor. The I D -V G simulated curve shows typical Coulomb<br />

Oscillations (CO) with a period equal to e/C G - corresponding to successive <strong>electron</strong>s charged in the<br />

island.<br />

The I D -V D simulation of a same device with same characteristics exhibits three regions: at low<br />

negative and high positive V D bias, the characteristic is quasi-linear. Centered at <strong>around</strong> V D =0, there<br />

is a conduction gap, c<strong>all</strong>ed the Coulomb gap, with no current flow through the device.<br />

---h<br />

= 4πεr<br />

Tmax =<br />

---------------<br />

40kCΣ


38 CHAPTER 1: Introduction<br />

Detailed geometries and architectures of an SET transistor are given in the next chapter (Section 2.2),<br />

and particularly the exact origin of tunnel barriers and quantum dot.<br />

Drain Current, I D [nA]<br />

35<br />

30<br />

25<br />

20<br />

15<br />

10<br />

period=e/C G<br />

5<br />

T=4.5K<br />

0<br />

T=100mK<br />

0 25 50 75 100 125 150<br />

Gate Voltage, V G [mV]<br />

T=20K<br />

Figure 1.28: Monte-Carlo (MC) I D -V G (V D =10mV) (left) and I D -V D (V G =50mV) (right) SET<br />

simulation. Four different temperatures are set: 100mK, 4.5K (liquid helium temperature), 20K and<br />

77K (liquid nitrogen temperature). Transistor characteristics are R S =R D =100kΩ, C S =C D =2aF,<br />

C G =4aF. The simulation is calculated with SIMON [Was97] with 100’000 MC event numbers.<br />

CB characteristics of a <strong>single</strong> <strong>electron</strong> transistor are efficiently plotted in a diamond diagram (see<br />

Figure 1.29). Inside rhomboid shapes the different charging levels <strong>all</strong>owed in the island are written.<br />

At low V D , the Coulomb gap is characterized by a device blockade (no current), and so the<br />

transconductance g m =dI D /dV G is equal to zero. The transconductance sign is not considered.<br />

50mV<br />

V D [V]<br />

0<br />

-50mV<br />

T=77K<br />

Figure 1.29: Coulomb Blockade V D -V G diamond plot simulated at T=4.5K. Numbers enclosed are<br />

possible <strong>electron</strong> charge levels in the island. Tunneling events through source tunnel junction<br />

(J source ) and drain tunnel junction (J drain ) are represented. Parameters are those used in Figure 1.28.<br />

Concerning the output characteristics of an SET, we observe:<br />

Drain Current, I D [nA]<br />

-200<br />

-50 -25 0 25 50<br />

• Double threshold level:<br />

An SET has a double threshold level: in V G and V D . The V G threshold depends on<br />

periodicity. The V D threshold is characterized by the Coulomb gap and is given by the<br />

relation: -e/2C Σ


Technology <strong>hybrid</strong>ization with emerging devices 39<br />

The island material is of crucial importance <strong>for</strong> device operation. To highlight this difference, the<br />

impact on the density of state is represented in Figure 1.30. Three types of devices are compared:<br />

SET, QDT and RTD.<br />

The quantum dot transistor (QDT) is a <strong>single</strong> <strong>electron</strong> transistor with a semiconductor island. Now, it<br />

is widely admitted to c<strong>all</strong> SET devices with either a met<strong>all</strong>ic or a semiconductor island.<br />

Semiconductor-island SET have a different behavior in comparison with met<strong>all</strong>ic-island SET. This is<br />

due to quantum confinement and field effect in the island. Resonant Tunneling Devices (RTD)<br />

physic is described in Section 1.5.4.<br />

- SET -<br />

Single Electron<br />

Transistor<br />

Figure 1.30: Impact of density of state and dimension [Gau03a].<br />

Single <strong>electron</strong> transistor device and process simulation<br />

Concerning SET modeling, many different approaches have been investi<strong>gate</strong>d. Carrier transport<br />

from quantum dot to quantum dot through tunnel junctions under different bias conditions can be<br />

efficiently solved with a Master Equation. This is a conservation law <strong>for</strong> <strong>all</strong> probabilities of states<br />

that a circuit can occupy. This is also a common way of solving a stochastic process. Master Equation<br />

can be solved directly, this is particularly well-adapted <strong>for</strong> a <strong>single</strong>-<strong>electron</strong> box or a <strong>single</strong> <strong>electron</strong><br />

transistor. Quasi-analytical models of SET have been proposed, first in 1992 by Ingold [Ing92], then<br />

an excellent report was published in 1999 by Likharev [Lik99]. Latest contributions are focused on<br />

<strong>hybrid</strong> CMOS/SET simulation [Mah06].<br />

When the number of junctions and dots increases, then the Monte Carlo (MC) method is preferred.<br />

MC is a computing method using random numbers and is efficient to simulate multiple stochastic<br />

processes. The SIMON simulator [Was01] is one of the most efficient available simulator. Various<br />

Monte Carlo contributions on SET simulation can be found in the literature; we can quote the works<br />

of Roy in 1994 [Roy94], Fonseca in 1995, who c<strong>all</strong>ed its tool SENECA [Fon95] and Chen, who<br />

developed the simulator tool MOSES [Che96] in 1996.<br />

Some groups have also recently extended the analytical simulation of SET into true 3-dimensional<br />

simulation. This is essential to explore parameters like the different levels of quantum confinement<br />

or the role of the quantum dot shape, doping and stress in semiconductor-based SET. The report<br />

published by Fiori [Fio05] in 2005 is a pioneering work and is of high importance <strong>for</strong> prototyped<br />

SET device optimization. SET simulation based on finite elements has also been explored [Hei02].<br />

Fin<strong>all</strong>y, the fabrication process itself is also more and more focusing interest with the development of<br />

full 3D finite-element simulators. Some key point fabrication steps, like stress induced by <strong>silicon</strong><br />

oxidation (PADOX), are very difficult to simulate in a full 3D configuration. Examples of TCAD<br />

process and device simulations is presented in detail in Chapter 3.<br />

Ch<strong>all</strong>enges of SET technology<br />

e -<br />

- Met<strong>all</strong>ic island<br />

- Sequential tunneling<br />

- Coulomb Blockade<br />

- R T >>R Q<br />

e -<br />

- QDT -<br />

Quantum Dot<br />

Transistor<br />

E C EC<br />

- Semiconductor island<br />

- Sequential tunneling<br />

- Coulomb Blockade<br />

- Quantum confinement<br />

- R T >>R Q<br />

- RTD -<br />

Resonant Tunneling<br />

Device<br />

SET ch<strong>all</strong>enges are enormous (See Section 2.2), due to the difficulty to isolate a 1 to 3nm-large<br />

conductive box and to connect it through two resistive tunnel junctions. There is - <strong>for</strong> the moment -<br />

no technique with SET reproducible characteristics. Other issues are the operating temperature<br />

(ide<strong>all</strong>y room temperature), the driving current, the <strong>gate</strong> integration, as well as the process cost.<br />

A typical example presented in Figure 1.31 is an SET device based on SOI island point contact and<br />

using a top poly<strong>silicon</strong> <strong>gate</strong> (left). Oscillating characteristics at different temperatures are also plotted<br />

(right), showing the progressive disappearance of Coulomb Blockade at higher temperature [Sai02].<br />

e -<br />

Δ<br />

- Semiconductor well<br />

- Quantum confinement<br />

- Resonant tunneling<br />

- No Coulomb Blockade<br />

- Phase coherent


40 CHAPTER 1: Introduction<br />

Figure 1.31: SOI point contact SET (left) and I D -V G measurement (right) [Sai02].<br />

Single <strong>electron</strong> history<br />

In par<strong>all</strong>el to the CMOS history, a short history of Coulomb Blockade is given in Table 1.3. This<br />

summaries a Century of research on electrostatic devices. This starts from the measurement of the<br />

<strong>electron</strong> charge, in 1911, by R. Millikan; followed in 1951 by the Coulomb Blockade observation, by<br />

C. Gorter; and fin<strong>all</strong>y first <strong>hybrid</strong> SET/CMOS integration in 2006, by the group of Yu and Choi.<br />

TABLE 1.3: A BRIEF HISTORY OF COULOMB BLOCKADE PHYSICS AND DEVICES.<br />

Year Group Study Reference<br />

1911 Millikan Measurement of the <strong>electron</strong> charge [Mil11]<br />

1924 de Broglie Basis of quantum mechanics [Bar01]<br />

1951 Gorter Tunnel barriers in thin met<strong>all</strong>ic films [Gor51]<br />

1975<br />

Kulik and<br />

Shekhter<br />

Basis of Orthodox Theory [Kul75]<br />

1985 Averin and Likharev Orthodox Theory and quantum of resistance [Ave91]<br />

1987 Fulton and Dolan<br />

Demonstration of a <strong>single</strong> <strong>electron</strong> electrostatic trapping in<br />

a conductive island between 2 junctions<br />

[Ful87]<br />

1987 Likharev Concept of <strong>electron</strong> trap and <strong>single</strong> <strong>electron</strong> logic [Lik87]<br />

1990 Geerligs The <strong>electron</strong> turnstile [Gee90]<br />

1991 Pothier The <strong>electron</strong> pump [Pot91]<br />

1991 Averin and Likharev Description of the background charge effect [Ave91]<br />

1993 Yano Realization of the first SEM cell [Yan93]<br />

1995 Tiwari First nano-crystal memory [Tiw95]<br />

1996 Takahashi Silicon SET by PADOX process [Tak96]<br />

1998 Yano 128Mb SEM [Yan98]<br />

2001 Wasshuber SET Monte Carlo modeling [Was01]<br />

2001<br />

Boeuf and<br />

Monfray<br />

Coulomb Blockade in nano-scale MOS devices<br />

[Boe01]<br />

[Mon03]<br />

2001 Kim Electric<strong>all</strong>y induced quantum dot [Kim01]<br />

2002 Fraboulet Coulomb Blockade in SOI nano-constriction [Fra02]<br />

2003 Nejo and Hori Coulomb Blockade in carbon nanotube [Nej03]<br />

2004 Ionescu and Mahapatra<br />

Hybrid SET/MOS architecture with CB oscillations and<br />

high current drive<br />

[Ion04]<br />

2006 Lee and Yan Room temperature CB oscillations in Si SET [Lee06]<br />

2006 Yu SET/MOSFET multiple-value SRAM circuit [Yu06]


Technology <strong>hybrid</strong>ization with emerging devices 41<br />

1.5.3 Multiple-island <strong>single</strong> <strong>electron</strong> devices<br />

The extremely high difficulty to process a device with a unique <strong>single</strong> island connected between two<br />

tunnel junctions to carrier reservoirs has motivated researchers to develop <strong>single</strong> <strong>electron</strong> devices<br />

with multiple islands. Multiple-island devices are much easier to fabricate. The nano-metric size of a<br />

conductive dot can be natur<strong>all</strong>y <strong>for</strong>med in a poly-cryst<strong>all</strong>ine material. The first observation of<br />

Coulomb Blockade in thin met<strong>all</strong>ic films by Gorter in 1951 [Gor51] was an example of electrostatic<br />

charge trapping in a granular layer. Since then, many examples have been developed and transport<br />

theory in poly-cryst<strong>all</strong>ine films is better understood. In this section, we present devices based on<br />

met<strong>all</strong>ic, poly-<strong>silicon</strong> and undulated SOI layers.<br />

A <strong>single</strong> <strong>electron</strong> device - based on a met<strong>all</strong>ic multiple-dot layer - was proposed in 1997 by [Che97].<br />

The metal film contacted between two gold pads is made of 3nm diameter AuPd islands randomly<br />

cross-connected by multiple tunnel junctions. Irregular I D -V G oscillations are observed up to T=77K.<br />

A very recent report, published in 2005 by EPFL [Eco05a], exploits the unique transport<br />

characteristics in low-doped ultra-thin LPCVD poly<strong>silicon</strong> <strong>nanowires</strong> (grain diameter ranging from 5<br />

to 20nm), combined with MOS devices in a <strong>hybrid</strong> technology plat<strong>for</strong>m. Carriers transport through<br />

poly<strong>silicon</strong> grains is explained by tunneling conduction at low temperature and hopping conduction<br />

at higher temperature. The tunneling transport disappears at high temperature (T>80K) because the<br />

potential barrier between the grains is not high enough in comparison with the thermal energy of<br />

carriers. The current path from grain to grain is c<strong>all</strong>ed percolation current. Authors have measured<br />

<strong>hybrid</strong> CMOS/poly<strong>silicon</strong> nanowire basic configurations and have extracted a negative differential<br />

resistance (NDR). Applications <strong>for</strong> pA-range current measurement and ultra-steep -10mV/dec NDR<br />

characteristics with 8 decades peak-to-v<strong>all</strong>ey current ratio are suggested. A picture of the NMOS/<br />

poly<strong>silicon</strong> nanowire cell is shown in Figure 1.37.<br />

The work presented in 2002 by Kawamura [Kaw02] also exploits conduction in thin poly-<strong>silicon</strong><br />

layers and CB oscillations were observed up to 80K, with a tunnel barrier height between dots of<br />

~26meV. A Coulomb gap in the I D-V D characteristic is also observed at low temperature on thinnest<br />

reported poly<strong>silicon</strong> layers (7nm). The electrical characteristic of the device strongly depends on its<br />

film thickness, channel width and length, film doping level and deposition technique. Authors also<br />

demonstrate that sm<strong>all</strong> grains are needed <strong>for</strong> higher-temperature operational CB characteristics.<br />

Figure 1.32: Single <strong>electron</strong> device based on<br />

undulated ultra-thin SOI layer (top) and<br />

measured oscillating I D-V G (down)<br />

characteristics at T=20K and T=80K [Uch01].<br />

The device presented in Figure 1.32 is proposed<br />

by Uchida [Uch01]. The multi-island material is<br />

an ultra-thin SOI layer, connected between two<br />

thick SOI pads, serving as S/D contacts, and<br />

controls by a deposited poly<strong>silicon</strong> <strong>gate</strong>. The<br />

<strong>gate</strong> oxide is a 50nm grown SiO 2 layer.<br />

The SOI thin film is attacked during the process<br />

by an alkaline-based solution, resulting in a<br />

3nm thick undulated <strong>silicon</strong> structure. AFM<br />

measurement show a long range correlation<br />

between Si grains of about 15nm.<br />

As shown in the measurement plot, CB<br />

oscillations are observed at low temperature.<br />

A non-volatile memory hysteresis is also<br />

observed in the I D -V G curve, even at T=300K.<br />

The conduction between Si dots is explained by<br />

the random potential profile in the film. Details<br />

are in [Uch01].<br />

CB oscillations and memory hysteresis are two<br />

distinct effects and are discussed separately.


42 CHAPTER 1: Introduction<br />

The latest work highlighted in this section dealing with multiple-island devices are memories<br />

proposed by Yano’s group [Yan98]. In many multiple-island devices, including the work of [Eco05a]<br />

and [Uch01], memory effects are observed. The storage mechanism in Yano’s memories is explained<br />

by the trapping of charges in one or a few grains, that induce a modification in the percolation<br />

channel conductance. Multiple-island memory applications are certainly more promising than logic<br />

applications because they operate at room temperature and they do not depend on random CB<br />

oscillations.<br />

1.5.4 Resonant tunneling devices<br />

As explained in section 1.5.1, logic devices need to exhibit a non-linear characteristic. The Resonant<br />

Tunneling Device (RTD) logic family is based on resonant tunnel diodes combined with active<br />

devices (MOS, BJT, HBT, HEMT) [Was05].<br />

A resonant tunnel diode is a two-contact device with two junctions in series, as shown in Figure 1.33.<br />

Structures are typic<strong>all</strong>y made of III-V semiconductors epitaxial layers and exhibit a negative<br />

differential resistance in I-V characteristics. Wide-bandgap semiconductors are used as barriers. This<br />

NDR effect results from resonance peaks in the transmission probability through the tunnel barriers.<br />

A comparison between <strong>single</strong> <strong>electron</strong> devices and RTD is made in Figure 1.30.<br />

3 10 -3<br />

I DIODE [A]<br />

High tunneling<br />

probability<br />

NDR<br />

0<br />

0 1.0<br />

V DIODE [V]<br />

Figure 1.33: Measured resonant tunneling<br />

diode integrated on the VCO circuit presented<br />

by [Cho06]. Diode surface is 2x1μm 2 .<br />

Resonant tunneling diodes have many advantages in terms of reliability, ultra-high speed and power<br />

consumption. On the other hand, limitations are resulting from the need to co-integrate active devices<br />

to control diodes operation, and also from a complex and costly fabrication process requiring III-V<br />

semiconductor epitaxial layers.<br />

1.5.5 Carbon nanotubes<br />

Tunnel<br />

junctions<br />

Low tunneling<br />

probability<br />

I D<br />

Data processing with RTD coupled with<br />

capacitors is presented in [Tan03]. This paper<br />

explains the MOBILE (monostable-bistable<br />

transition logic element) logic, that exploits<br />

RTD NDR stability points. A delay between<br />

logic <strong>gate</strong>s as short as 100fs is demonstrated.<br />

The second reference [Cho06] is a work of the<br />

Korean KAIST group published in 2006.<br />

Authors demonstrated the fabrication of a<br />

14GHz monolithic microwave integrated circuit<br />

voltage-controlled oscillator (VCO) with an<br />

extremely reduced DC power consumption.<br />

RTD used are based on InP - InGaAs multilayers<br />

with different doping levels, and are<br />

combined with HBT, varactors, spiral inductors<br />

and thin-film resistors in an outstanding cointegration<br />

of <strong>hybrid</strong> devices.<br />

Carbon nanotubes (CNT) are promising nanostructures. A <strong>single</strong>-w<strong>all</strong>ed carbon nanotube (SWCNT)<br />

is defined as a <strong>single</strong> graphite plane (so-c<strong>all</strong>ed graphene) rolled up to a hollow seamless cylinder. A<br />

multiple-w<strong>all</strong>ed carbon nanotube (MWCNT) is composed by multiple graphene sheets stuck into<br />

another. The diameter of a CNT ranges from 1 to 10nm, while its length can be as long as tens of<br />

micrometers. The discovery of CNT is attributed to Iijima [Iij91], in 1991.<br />

In 2006, CNT are still reported as the hottest topic in nanotechnology by Nature [Top06], being the<br />

device with super-strong mechanical properties and remarkable <strong>electron</strong>ic characteristics. Let’s<br />

notice that the same article put 1D <strong>nanowires</strong> (Section 1.5.6) in the second position.<br />

Carbon nanotubes can be produced either in mass or assembled individu<strong>all</strong>y by local growth<br />

[Was05]. However, the exact localization of CNT with ohmic contacts remains an unresolved<br />

technological ch<strong>all</strong>enge. The first nanotubes produced by Iijima where synthesized by electric arc<br />

discharge. This methods consists in applying a large voltage bias between two graphite electrodes.<br />

Later, laser vaporization was introduced to enhance the yield of SWCNT (~70%). Chemical vapor<br />

deposition of SWCNT was reported in 1998, and in 2001 the cryst<strong>all</strong>ization of fullerene structures.


Technology <strong>hybrid</strong>ization with emerging devices 43<br />

The growth of individual CNT has the major advantage to control the position of one of the CNT<br />

end. Many techniques are still investi<strong>gate</strong>d. They are almost <strong>all</strong> based on CVD catalytic growth from<br />

a metal nanoparticle.<br />

Detailed <strong>electron</strong>ic properties of CNT can be found in [Was05]. Depending on carbon atoms<br />

orientation (c<strong>all</strong>ed chirality of the tube), SWCNT are either met<strong>all</strong>ic or p-type semiconductor, with a<br />

probability of 1/3 and 2/3, respectively. Semiconductor-type CNT have a bandgap of a few eV; the<br />

bandgap decreases when the diameter of the tube increases. Due to CNT structures, authors expect<br />

b<strong>all</strong>istic transport along the tube over distances of several micrometers. In longer CNT, phonon<br />

scattering and scattering with doping impurities in the tube must be considered.<br />

Figure 1.34 shows two realizations using carbon nanotubes. On the left, par<strong>all</strong>el CNT are used as<br />

interconnect [Kre02] to take advantage of the high current density measured in met<strong>all</strong>ic-type CNT<br />

(~10 10 A/cm 2 <strong>for</strong> a CNT and ~10 7 A/cm 2 <strong>for</strong> copper). On the right, a SWCNT serves as the channel of<br />

a CNT-based field effect transistor [Bac01]. The <strong>gate</strong> is below the tube and made of aluminium,<br />

while two gold bonds serve as S/D contacts. For about ten years, CNT-FET with good per<strong>for</strong>mances<br />

have been reported, <strong>for</strong> example by the IBM Watson Research Center [Col01], which uses electrical<br />

breakdown to isolate a semiconductor-type SWCNT as a transistor channel.<br />

In comparison with state-of-the-art <strong>silicon</strong> MOSFET, CNT-FET have a much higher ON-current.<br />

However, major difficulties remain in the exact positioning of the tube, in the semiconductor nature<br />

of the tube, in the doping control (n-type semiconductor CNT are difficult to be produced) and in the<br />

metal/CNT contact (Schottky barriers are usu<strong>all</strong>y obtained). Furthermore, high-current CNT-FET<br />

devices exploiting par<strong>all</strong>el connection of CNT have not been realized yet. The effect of the <strong>gate</strong> field<br />

on short-channel CNT-FET has also not been clearly explored. Last, the reproducibility and yield of<br />

CNT-FET technology is <strong>for</strong> the moment absolutely not under control.<br />

2μm<br />

100nm Al<br />

Nanotube<br />

CNT Interconnect CNT FET<br />

Figure 1.34: Two applications of carbon nanotubes. On the left, a nanotube b<strong>all</strong> used as an<br />

interconnect between two metal layers [Kre02]. On the right, the example of a field effect transistor<br />

using a <strong>single</strong> SWCNT as channel of the device [Bac01].<br />

Coulomb blockade oscillations in CNT nanostructures have also been observed. Using a CNT tip as<br />

a field emitter, a Japanese group [Nej03] has measured I D -V G CB oscillations and I D -V D Coulomb<br />

gap. CB peaks indicate the presence of defects in the CNT or at the contacts, that act as quantum dots<br />

and tunnel barriers. The exact origin of quantum dots and tunnel barriers in CNT-based <strong>single</strong><br />

<strong>electron</strong> devices is still controversial. However, there is a lot of experimental work to do in order to<br />

control the conduction in a CNT, despite the fact that many reports demonstrate the possible use of<br />

CNT <strong>for</strong> room temperature CB operation.<br />

The last example of CNT is their use in Nano Electro-Mechanical Systems (NEMS). CNT have<br />

exceptional mechanical properties and a very high breaking resistance. A CNT electrostatic nanorelay<br />

is presented in [Lee04b]. Authors exploit the sm<strong>all</strong> dimension and high stiffness of the tube to<br />

actuate ON/OFF a relay at very high frequency and at low voltage.<br />

Some outstanding results have been obtained with carbon nanotubes, and the range of possible<br />

applications is re<strong>all</strong>y large. The fabrication of CNT-based field-effect transistors has been validated<br />

during the last couple of years by many successful integrations. However, the lack of device-todevice<br />

reliability and the low yield make the CNT technology at the moment far away to be a<br />

possible option to replace CMOS logic.<br />

Au


44 CHAPTER 1: Introduction<br />

1.5.6 1D <strong>nanowires</strong><br />

One-dimensional <strong>nanowires</strong> are conductive structures with a uni-directional current flow. Carbon<br />

nanotubes are specific 1D structures and have been discussed in the previous section. Although<br />

nanowire materials are well-known (Si, SiGe, III-V compounds, metal), the nano-scale dimension,<br />

1D quantum transport and surface/volume ratio make that <strong>nanowires</strong> exhibit very specific<br />

characteristics. The ITRS is <strong>for</strong> a couple of years considering many types of 1D <strong>nanowires</strong> as<br />

possible post-CMOS devices <strong>for</strong> logic applications. However, the range of applications <strong>for</strong> 1D<br />

<strong>nanowires</strong> is very large, including sensors, actuators and optical applications.<br />

The term nanowire appears <strong>for</strong> the first time in the literature in 1991. It was introduced by Knoedler<br />

in a study on GaAs/AlGaAs heterostructures [Kno91]. Since then, many different technological<br />

approaches have been considered, including both bottom-up and top-down fabrication processes.<br />

Conduction in gold <strong>nanowires</strong> has been published by [Tak01]. The Harvard group of Lieber has<br />

pushed a lot of ef<strong>for</strong>t on various nanowire studies. This includes the synthesis of semiconductor<br />

compound <strong>nanowires</strong> (GaAs, GaP, InP) [Dua00] and cryst<strong>all</strong>ine cadmium sulfide (CdS) nanowire<br />

lasers [Dua03]. In 2003, Heath’s group from Caltech [Mel03] has emphasized the possibility to build<br />

ultra-high-density <strong>nanowires</strong> <strong>for</strong> circuits applications. As sm<strong>all</strong> of 8nm-diameter <strong>nanowires</strong> have<br />

been placed in a regular array structure with a pitch of only 16nm, demonstrating a nanowire junction<br />

density of 10 11 cm -2 <strong>for</strong> cross-bar circuit applications.<br />

Many reports are also published on <strong>silicon</strong> <strong>nanowires</strong> (SiNW). As the main part of this thesis report<br />

is on SET/FET properties in <strong>silicon</strong> <strong>nanowires</strong>, we are not going to describe here in detail the<br />

<strong>electron</strong>ic properties and <strong>all</strong> proposed SiNW integration possibilities. As an introduction, we rather<br />

show some key results.<br />

The paper on SiNW atomistic simulation published by Lannoo’s group at ESSDERC 2005 [Neh05] is<br />

a highly remarkable introduction on SiNW transport computation. An example of a 9nm long and<br />

1.36nm x 1.36nm cross-section SiNW is shown, and influence of SiNW length and width in the<br />

b<strong>all</strong>istic regime is highlighted. In terms of technology and devices, an original top-down approach<br />

using crystal-orientation-dependent <strong>silicon</strong> etching was proposed in 1997 by NTT [Nam97]. Authors<br />

obtained 2nm-width SiNW, showing oscillations at low temperature. In 2005, the CEA Grenoble<br />

group has measured CB oscillations in a SiNW MOSFET configuration [Hof05]. The role of <strong>single</strong><br />

local traps, their natures and positions in the channel is explained. Measurements of regular low<br />

temperature I D-V G oscillations are shown and the parasitic background charge effect in SiNW <strong>single</strong><br />

<strong>electron</strong> devices is, <strong>for</strong> the first time, addressed.<br />

10nm<br />

Figure 1.35: The nanowire FET architecture<br />

proposed by Lieber (top) and a Ge/Si nanowire<br />

cross-section TEM image (bottom). ZrO 2 is<br />

used as <strong>gate</strong> oxide and Au as top <strong>gate</strong> [Xia06].<br />

Fin<strong>all</strong>y, the last two contributions that deserve a<br />

special attention are: first, a paper published in<br />

2006 by Lieber’s group [Xia06]. The structure<br />

of the nanowire device and a device crosssection<br />

are displayed in Figure 1.35. A dual Ge/<br />

Si nanowire core is used, combined with high-k<br />

dielectrics and a Au <strong>gate</strong>. Extracted p-type<br />

nanowire FET I ON is 2.1mA/μm. The shell Si/<br />

Ge structure is not sm<strong>all</strong> enough to exhibit 1D<br />

confined conduction, but reduced scattering due<br />

to low wire doping, excellent S/D contacts and<br />

b<strong>all</strong>isticity at low V D are observed.<br />

The second remarkable SiNW FET paper<br />

reported in 2006 was published by [Par06] is a<br />

twin <strong>silicon</strong>-nanowire transistor in a <strong>gate</strong>-<strong>all</strong><strong>around</strong><br />

configuration. A n-channel <strong>silicon</strong><br />

device, 10nm in diameter, with 30nm <strong>gate</strong><br />

length has been characterized. The <strong>gate</strong> oxide<br />

thickness is 2nm and compound TiN/W is used<br />

as <strong>gate</strong> material. I ON is 3.5mA/μm and I OFF is<br />

13nA/μm. The short channel immunity is<br />

excellent.


Technology <strong>hybrid</strong>ization with emerging devices 45<br />

1.5.7 Macro-molecular devices<br />

Macro-molecular devices exploit specific transport characteristics through organic molecules. Some<br />

macro-molecules, inc. carbon nanotubes, have electrical switching properties that make them<br />

valuable <strong>for</strong> computational <strong>electron</strong>ics. The bistable characteristic of molecules can be observed in<br />

terms of (i) molecule <strong>electron</strong>ic excitation and Coulomb blockade, (ii) in the molecule configuration<br />

or con<strong>for</strong>mation change or (iii) in a reversible redox process. The nature of switching and the<br />

<strong>electron</strong>ic structures of macro-molecules is a brand new field of interest. Many types of macromolecules<br />

have been investi<strong>gate</strong>d, including also the biologic DNA molecule.<br />

In terms of device per<strong>for</strong>mances and technology integration, this class of devices has essenti<strong>all</strong>y the<br />

same advantages and limitations than carbon nanotubes:<br />

• Contacts:<br />

It is an enormous ch<strong>all</strong>enge to control the contact and position of a <strong>single</strong> molecule or a<br />

few molecules between two electrodes acting as drain and source. A third electrode in the<br />

boundary of the molecular system is needed to control the conduction through the<br />

molecular system. The reliability of ultra-sharp met<strong>all</strong>ic electrodes can also provide<br />

instabilities.<br />

• Chemical synthesis:<br />

The molecules are chemic<strong>all</strong>y synthesized and then deposited during the process over the<br />

surface of the wafer. The thermal balance and material compatibility is usu<strong>all</strong>y more<br />

critical than in CMOS technology. A general description is hardly possible and organic<br />

molecules (rotaxanes, catenanes) have many types of electrical behavior (conductive,<br />

insulator, semiconductor).<br />

• Reproducibility:<br />

A <strong>single</strong> molecule has a perfectly defined and reproducible electrical behavior. However,<br />

due to contacting, molecule position and molecule ageing (oxidation), a good device-todevice<br />

reproducibility cannot still be achieved. Furthermore, any misalignment in the<br />

molecule position or any defective <strong>single</strong> bond can completely change the property of the<br />

device. A defect-tolerant circuit approach is often considered to counter the poor<br />

reproducibility and non-working devices.<br />

• Device per<strong>for</strong>mances:<br />

Because of the nano-scale of a <strong>single</strong> molecule, ITRS expects advantages in devices speed<br />

(>THz), low switching energy and ultra-high density (>10 12 cm -2 ).<br />

• Bottom-up:<br />

Molecular devices are intrinsic<strong>all</strong>y integrated in a bottom-up approach.<br />

A large range of device architectures and functions have been experiment<strong>all</strong>y proposed and<br />

measured. Two-contact devices are molecular wires, diodes, switches, storage elements and<br />

interconnects. Three-terminal devices include either molecules contacted by three leads or transistor<br />

configurations where a third <strong>gate</strong> electrode modifies the molecule conductivity by field effect.<br />

In 1998, Hewlett-Packard has proposed the Teramac concept [Hea98], which consists in building<br />

large arrays of cross-bar components to study how defects affect the general circuit operation.<br />

Teramac concept proposes nice ideas <strong>for</strong> the future of nano-<strong>electron</strong>ics and nano-components. Many<br />

reports are also focusing on specific types of molecules. For example, in 1999, a partnership between<br />

Yale and Rice universities [Che99] presented a redox two-terminal molecular-based device<br />

exhibiting negative differential resistance and an I ON/I OFF ratio greater than 1000. One year later, in<br />

2000, the conduction through a <strong>single</strong> DNA molecule was characterized [Por00], exhibiting a<br />

semiconducting behavior.<br />

More recent articles include contributions on electrode fabrication by controlled breakdown<br />

junctions [Rei02] and the observation of Coulomb blockade and Kondo effect in a three-terminal<br />

<strong>single</strong> molecule transistor [Par02]. Fin<strong>all</strong>y, in 2006, a group from North Carolina State University<br />

[Gow06] published an <strong>hybrid</strong>ization of CMOS devices with redox molecules. The molecule discrete<br />

states manifest themselves in the transistor characteristics. This example shows that macromolecules<br />

can be used in a huge number of applications, and usu<strong>all</strong>y at moderate cost. As <strong>for</strong> CNT,<br />

contacts and reliability are the major issues that need to be solved.


46 CHAPTER 1: Introduction<br />

1.5.8 Spintronics<br />

Besides an electric charge, <strong>electron</strong>s are also characterized by a spin orientation. This is a<br />

fundamental property, that can be exploited in computational nano<strong>electron</strong>ics. For about 20 years, the<br />

use of magneto<strong>electron</strong>ic properties <strong>for</strong> data processing and storage has been investi<strong>gate</strong>d. In 1989,<br />

Datta and Das have proposed a theoretical study on spin-polarized current flow in a 2D <strong>electron</strong> gas<br />

[Dat89]. Novel activities on spintronics have followed then. We can summarize the basic operations<br />

of a spin transistor in this way:<br />

• Spin-oriented carrier generation from the source (ferromagnetic material)<br />

• Flow of spin-polarized <strong>electron</strong>s through the channel (2D <strong>electron</strong> gas)<br />

• Spin detection in the drain (ferromagnetic material)<br />

If turned ON, the coupled <strong>gate</strong> flips the carrier spin by the external applied field and hence modify<br />

the spin orientation. Random spin misalignment results in an increase in carrier scattering, and hence<br />

an increase in the channel resistance. Otherwise, if no <strong>gate</strong> bias is applied, the channel should as<br />

much as possible preserve spin orientation <strong>all</strong> along the charge transfer from source to drain.<br />

Recently, various device architectures of spin-based transistors have been proposed: the spin gain<br />

transistor [Nik05], the spin-torque concept [Bau03] and a spin MOSFET with spin-polarized<br />

transport in <strong>silicon</strong> [Sug04].<br />

However, spintronics is facing non-negligible issues. First, the injection of a spin domain in<br />

semiconductor and control of this spin-relevant domain at sm<strong>all</strong> scale and <strong>for</strong> a significant time has<br />

not been yet demonstrated. Second, the fabrication process involves both ferromagnetic materials<br />

(Cr, Fe or Mn <strong>all</strong>oys) and III-V semiconductors <strong>for</strong> 2D <strong>electron</strong>-gas <strong>for</strong>mation. Let’s notice fin<strong>all</strong>y,<br />

that spintronics is still based on charge transfer and so per<strong>for</strong>mances may not highly differ from<br />

electrical charge transport.<br />

1.5.9 Ferromagnetic logic<br />

Ferromagnetic devices are also spin-based devices and exploit the <strong>electron</strong> magnetic moment to store<br />

and carry in<strong>for</strong>mation. Contrary to spintronics, ferromagnetic logic consists in changing the magnetic<br />

polarization of a metal (no semiconductors), and not carrying spin-oriented carriers from one<br />

electrode to another (like spintronics).<br />

For example, the work proposed by [All02] exploits a magnetic feedback loop <strong>for</strong> NOT <strong>gate</strong> and<br />

shift register applications. The two stable states (spin up / spin down) of a ferromagnetic structure<br />

represent the two logic states (0 / 1). A second example proposed by the London University College<br />

[Par03] is c<strong>all</strong>ed bistable magnetic quantum cellular automata (BMQCA). This device is based on<br />

<strong>single</strong> magnetic dots placed close together. The magnetic momentum of each magnetic quantum dot<br />

can flip up and down, and this results in spin-orientation transfer through wires. Logic operations are<br />

made with specific geometric configurations.<br />

As in spintronics, the lack of demonstrator devices slows down the actual interest on ferromagnetic<br />

logic. Furthermore, the in<strong>for</strong>mation flow direction is not controlled in ferromagnetic systems.<br />

Despite an expected ultra-low power consumption, large process difficulties <strong>for</strong> high-speed and highdensity<br />

ferromagnetic arrays are inevitably limiting investments. Research activities are still<br />

dominated by academic groups, and not by industries.<br />

1.5.10 Devices comparison<br />

The main families of devices <strong>for</strong> logic applications has been presented in the previous sections. A<br />

stronger emphasis has been deliberately put on <strong>single</strong> <strong>electron</strong> devices and 1D <strong>nanowires</strong>. Monoisland<br />

SET have also been described in more detail because of their higher relevance <strong>for</strong> this work.<br />

The ITRS, in its latest release on emerging devices [Erd05], makes an in-depth comparison of<br />

advantages and limitations of new devices, with CMOS as a reference. The Table 1.4 is a summary<br />

of this comparison. This includes only main results.<br />

Contrary to the CMOS technology, there is no Moore’s law on emerging devices. There is almost no<br />

improvement linked with device scaling, and so device size is not considered in the Table.


Technology <strong>hybrid</strong>ization with emerging devices 47<br />

TABLE 1.4: ITRS TABLE OF COMPARISON FOR EMERGING DEVICES - RELEASE 2005 [ERD05].<br />

Device FET<br />

1D<br />

structures<br />

Type Si CMOS CNT-FET<br />

NW-FET<br />

Cell size<br />

(spatial pitch)<br />

Density<br />

(device/cm 2 )<br />

Switch speed<br />

Circuit speed<br />

Switching<br />

energy (J)<br />

Bin. throughput<br />

GBit/(ns.cm 2 )<br />

Operational<br />

temperature<br />

1.5.11 Circuits and systems <strong>hybrid</strong>ization<br />

Resonant<br />

Tunnel<br />

Devices<br />

Mono-<br />

Poly-<br />

SET<br />

It seems realistic that emerging devices will be progressively combined with CMOS in <strong>hybrid</strong><br />

technologies. No ED can - <strong>for</strong> the moment - exceed <strong>all</strong> advantages of a boosted CMOS technology;<br />

but smart devices combination have still been demonstrated <strong>for</strong> some key applications.<br />

Major advantages/drawbacks of SET/MOS devices are shown in Table 1.5. Advantages of a <strong>hybrid</strong><br />

CMOS-SET technology, including <strong>hybrid</strong> cells simulation can be found in [Mah06].<br />

TABLE 1.5: MOSFET-SET DEVICES COMPARISON.<br />

Molecular<br />

RTD SET Molecular<br />

Spin<br />

transistor<br />

Spin<br />

transistors<br />

100nm 100nm 100nm 40nm 10nm 100nm<br />

590nm 1.5μm 3μm 700nm 2μm 100μm<br />

10 10<br />

Materials Si<br />

Advantages<br />

Limitations<br />

4.5x10 9<br />

4.5x10 9<br />

6x10 10<br />

10 12<br />

4.5x10 9<br />

2.8x10 8 4x10 7 10 7 2x10 8 2x10 7 10 4<br />

12THz 6.3THz 16THz 10THz 1THz 40GHz<br />

1THz 200MHz 700GHz 2THz 100Hz not known<br />

61GHz 61GHz 61GHz 1GHz 1GHz not known<br />

5.6GHz 220Hz 10GHz 1MHz 100Hz not known<br />

3x10 -18 3x10 -18 3x10 -18 10 -18 5x10 -17 3x10 -18<br />

10 -16 10 -11 10 -13 8x10 -17 3x10 -7 not known<br />

238 238 238 10 1000 not known<br />

1.6 10 -8 0.1 2x10 -4<br />

2x10 -9 not known<br />

RT RT 4.2-300K 20K RT RT<br />

CNT, Si,<br />

Ge, III-V<br />

III-V,<br />

SiGe<br />

III-V, Si<br />

Organic<br />

molecules<br />

Projected Demonstrated<br />

MOSFET SET<br />

High speed and fan-out<br />

Mature and stable technology<br />

Reasonably costly<br />

SCE/DIBL<br />

Power dissipation<br />

Process variations at nano-scale<br />

NMOS and PMOS devices<br />

Nano-scale device<br />

Ultra low power dissipation<br />

Functions (oscillating)<br />

Memory and logic device<br />

Technology <strong>for</strong> RT operation<br />

Reproducibility at nano-scale<br />

High output impedance (~nA)<br />

Background charge effects<br />

Limited to low V D bias <strong>for</strong> CB<br />

Low voltage gain C G/C S<br />

Si, III-V,<br />

Ferro


48 CHAPTER 1: Introduction<br />

Many <strong>hybrid</strong> technologies can be found in the literature. The example proposed in Figure 1.36 was<br />

proposed by EPFL in 2004. The complete reference, including the <strong>hybrid</strong> SET/MOS simulation used<br />

is presented in [Ion04].<br />

V DS1<br />

I BIAS<br />

SET<br />

I DS1<br />

GND<br />

I IN<br />

V<br />

V GS2<br />

GS1<br />

V IN<br />

I DS2<br />

NMOS<br />

V BIAS<br />

V DS2<br />

Log IDS2<br />

VGS1 = VIN<br />

Figure 1.36: Example of a <strong>hybrid</strong> SET-MOS basic cell (left) [Ion04]. The advantage of this<br />

configuration is to offer a high output current with the cross-connected MOS buffer output. The<br />

operating principle of this cell is presented on the right. The oscillating CB SET characteristics are<br />

amplified by the MOS biased in weak inversion, resulting in a typical μA-range I IN current level.<br />

Another MOS - <strong>single</strong> <strong>electron</strong> technology <strong>hybrid</strong>ization was also proposed by EPFL in 2005. Fully<br />

characterized poly<strong>silicon</strong> multi-island wires combined with planar bulk MOS transistors are<br />

described in [Eco05a] and [Eco05b] (see Section 1.5.3).<br />

N-MOSFET Poly-Si NW FET<br />

D<br />

G<br />

G<br />

D S<br />

S<br />

Figure 1.37: SEM picture of a <strong>hybrid</strong> co-integration of a n-type MOSFET and a poly<strong>silicon</strong><br />

nanowire FET integrated on the same substrate (left). Zoom on the central core of the active<br />

poly<strong>silicon</strong> nano-grain wire (right). The width of the channel is 312nm.<br />

VDS1<br />

IDS1<br />

VIN = VGS1<br />

VDS2 = (VIN−VBIAS)<br />

VGS2 = (VDS1−VBIAS)<br />

IIN<br />

VDS1<br />

VIN<br />

I DS<br />

VDS1<br />

Log IDS2<br />

312nm<br />

IBIAS<br />

VIN = VGS1<br />

VDS2 = (VIN−VBIAS)<br />

VGS2 = (VDS1−VBIAS)<br />

Nano-grain<br />

poly<strong>silicon</strong>


Summary 49<br />

1.6 Summary<br />

In this first chapter, we have presented different emerging devices combined with MOS transistors on<br />

a same substrate. As an outstanding reference, the paper published by the Korean KAIST group on a<br />

14GHz InP-Based RTD VCO with ultra-low-power consumption [Cho06] is, <strong>for</strong> example, a highly<br />

valuable <strong>hybrid</strong> integration (see Section 1.5.4).<br />

Hybridization is certainly mandatory <strong>for</strong> a smooth transition from CMOS technology to advanced<br />

technology exploiting emerging devices. However, the co-integration is not a scope by itself, but<br />

either a way to integrate new features, like ultra-low power logic or memory, which are impossible to<br />

build only upon CMOS. The CMOS technology will stay dominant <strong>for</strong> high speed and per<strong>for</strong>mances,<br />

and also as interface with external word.<br />

The positioning of the three domains More Moore (Section 1.3), Beyond CMOS (Section 1.4) and<br />

More than Moore (Section 1.5) are plotted in Figure 1.38.<br />

Feature size<br />

Figure 1.38: The positioning of More Moore, Beyond CMOS and More than Moore domains in terms<br />

of scaling and evolution perspectives.<br />

1.6.1 Synopsis<br />

10μm<br />

1μm<br />

100nm<br />

10nm<br />

We proposed the following in this chapter:<br />

More Moore<br />

Beyond CMOS<br />

1nm<br />

1960 1980 2000 2020 2040 2060<br />

Years<br />

More than Moore<br />

Micromechanics<br />

High-voltage<br />

Radio-Frequency<br />

• Discussion on past and actual ch<strong>all</strong>enges in the semiconductor industry.<br />

• The EPFL vision, based on an <strong>hybrid</strong> CMOS/SET co-integration, is shortly presented<br />

and motivated.<br />

• After a brief MOS device description and a short CMOS history, the device scaling based<br />

on Dennard’s rules is introduced.<br />

• The Moore’s law of scaling is discussed (More Moore).<br />

• Problems and proposed solutions of actual nano-scale CMOS are explained.<br />

• Tri-dimensional MOSFET structures are introduced as a beyond CMOS solution,<br />

including the key <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> geometry.<br />

• The last part of this chapter is on emerging devices (More than Moore), with a specific<br />

focus on <strong>single</strong> <strong>electron</strong> devices. Basic physics of the SET, based on the Coulomb<br />

Blockade phenomenon, is explained. The per<strong>for</strong>mances of non-CMOS devices are also<br />

compared.


50 CHAPTER 1: Introduction<br />

1.7 Bibliography<br />

[Ali00] J. Alieu, T. Skotnicki, E. Josse, J.-L. Regolini and G. Bremond, ’’Multiple SiGe well: a new channel<br />

architecture <strong>for</strong> improving both NMOS and PMOS per<strong>for</strong>mances’’, Proceedings of VLSI Symposium<br />

on Technology, pp. 130-131, Honolulu HI, 2000.<br />

[All02] D. A. Allwood, G. Xiong, M. D. Cooke, C. C. Faulkner, D. Atkinson, N. Vernier and R. P. Cowburn,<br />

’’Submicrometer ferromagnetic NOT <strong>gate</strong> and shift register’’, Science, vol. 296, pp. 2003-2006,<br />

2002.<br />

[Asa97] A. Asai and Y. Wada, ’’Technology ch<strong>all</strong>enges <strong>for</strong> integration near and below 0.1μm’’, Proceedings<br />

of the IEEE, vol. 85 (4), pp. 505-520, 1997.<br />

[Ase03] A. Asenov, A. R. Brown, J. H. Davies, S. Kaya and G. Slavcheva, ’’Simulation of intrinsic parameter<br />

fluctuations in decananometer and nanometer-scale MOSFETs’’, IEEE Transactions on Electron<br />

Devices, vol. 50 (9), pp. 1837-1852, 2003.<br />

[Aug83] S. Augarten, ’’State of the art: a photographic history of the integrated circuit’’, Ticknor & Fields,<br />

New-York NY, 1983.<br />

[Ave91] D. V. Averin and K. K. Likharev, ’’Single-<strong>electron</strong>ics: Correlated transfer of <strong>single</strong> <strong>electron</strong>s and<br />

Cooper pairs in sm<strong>all</strong> tunnel junctions’’, Mesoscopic Phenomena in Solids, Elsevier Amsterdam NL,<br />

pp. 173-271, 1991.<br />

[Bac01] A. Bachtold, P. Hadley, T. Nakanishi and C. Dekker, ’’Logic circuits with carbon nanotube<br />

transistors’’, Science, vol. 294, pp. 1317-1320, 2001.<br />

[Bar48] J. Bardeen and W. H. Brattain, ’’The transistor, a semi-conductor triode’’, Physical Review, vol. 74,<br />

pp. 230-231, 1948.<br />

[Bar01] K. Barnham and D. Vvedensky, ’’Low-dimensional semiconductor structures’’, Cambridge<br />

University Press, Cambridge UK, 2001.<br />

[Bat07] E. Batail, S. Monfray, D. Rideau, M. Szczap, N. Loubet, T. Skotnicki, C. Tabone, J.-M. Hartmann, S.<br />

Borel, G. Rabille, J.-M. Damlencourt, B. Vincent, B. Previtali, L. Clavelier, M. Bescond and G.<br />

Ghibaudo, ’’Germanium-on-Nothing (GeON): an innovative technology <strong>for</strong> ultrathin Ge film<br />

integration’’, Proceedings of ESSDERC, pp. 450-453, Munich DE, 2007.<br />

[Bau03] G. E. W. Bauer, A. Brataas, Y. Tserkovnyak and B. J. van Wees, ’’Spin-torque transistor’’, Applied<br />

Physics Letters, vol. 82 (22), pp. 3928-3930, 2003.<br />

[Bec02] P. Beckett and A. Jennings, ’’Towards nanocomputer architecture’’, Proceedings of Asia-Pacific<br />

Computer Systems Architecture, Melbourne AU, 2002.<br />

[Bhu05] K. K. Bhuwalka, J. Schulze and I. Eisele, ’’Scaling the vertical tunnel FET with tunnel bandgap<br />

modulation and <strong>gate</strong> workfunction engineering’’, IEEE Transactions on Electron Devices, vol. 52<br />

(5), pp. 909-917, 2005.<br />

[Boe01] F. Boeuf, T. Skotnicki, S. Monfray, C. Julien, D. Dutartre, J. Martins, P. Mazoyer, R. P<strong>all</strong>a, B. Tavel,<br />

P. Ribot, E. Sondergard and M. Sanquer, ’’16 nm planar NMOSFET manufacturable within state-ofthe-art<br />

CMOS process thanks to specific design and optimisation’’, Technical Digest of IEDM, pp.<br />

29.5.1-29.5.4, Washington DC, 2001.<br />

[Cha03] L. Chang, Y.-K. Choi, D. Ha, P. Ranade, S. Xiong, J. Bokor, C. Hu and T.-J. King, ’’Extremely<br />

scaled <strong>silicon</strong> nano-CMOS devices’’, Proceedings of the IEEE, vol. 91 (11), pp. 1860-1873, 2003.<br />

[Che96] R. H. Chen, A. N. Korotkov and K. Likharev, ’’Single-<strong>electron</strong> transistor logic’’, Applied Physics<br />

Letters, vol. 68 (14), pp. 1954-1956, 1996.<br />

[Che97] W. Chen and H. Ahmed, ’’Metal-based <strong>single</strong> <strong>electron</strong> transistors’’, Journal of Vacuum Science and<br />

Technology B, vol. 15 (4), pp. 1402-1405, 1997.<br />

[Che99] J. Chen, M. A. Reed, A. M. Rawlett and J. M. Tour, ’’Large On-Off ratios and negative differential<br />

resistance in a molecular <strong>electron</strong>ic device’’, Science, vol. 286, pp. 1550-1552, 1999.<br />

[Che04a] P. S. Chen, S. W. Lee, M. H. Lee, C. W. Liu and M. J. Tsai, ’’Thin relaxed SiGe layers <strong>for</strong> strained Si<br />

CMOS’’, Proceedings of Semiconductor Manufacturing Technology Workshop, pp. 79-82, Taiwan<br />

TW, 2004.<br />

[Che04b] K. W. Chew, K. S. Yeo and S.-F. Chu, ’’Effect of technology scaling on the 1/f noise of deep<br />

submicron PMOS transistors’’, Solid-State Electronics, vol. 48, pp. 1101-1109, 2004.<br />

[Chi06] P. R. Chidambaram, C. Bowen, S. Chakravarthi, C. Machala and R. Wise, ’’Fundamentals of <strong>silicon</strong><br />

material properties <strong>for</strong> successful exploitation of strain engineering in modern CMOS<br />

manufacturing’’, IEEE Transactions on Electron Devices, vol. 53 (5), pp. 944-964, 2006.


Bibliography 51<br />

[Cho05] S. Chou, ’’Integration and innovation in the nano<strong>electron</strong>ics era’’, Digest of Technical Papers of<br />

ISSCC, vol. 1, pp. 36-41, 2005.<br />

[Cho06] S. Choi, Y. Jeong and K. Yang, ’’14 GHz InP-based RTD MMIC VCOs with ultra low DC power<br />

consumption’’, Proceedings of Indium Phosphide and Related Materials Conference, pp. 439-441,<br />

Princeton NJ, 2006.<br />

[Coc99] T. Cochet, S. Skotnicki, G. Ghibaudo and A. Poncet, ’’Lateral dependence of dopant-number<br />

threshold voltage fluctuations in MOSFETs’’, Proceedings of ESSDERC, vol. 1, pp. 680-683,<br />

Leuven BE, 1999.<br />

[Col90] J.-P. Colinge, M. H. Gao, A. Romano-Rodriguez, H. Maes and C. Claeys, ’’Silicon-on-insulator<br />

<strong>gate</strong>-<strong>all</strong>-<strong>around</strong> device’’, Technical Digest of IEDM, pp. 595-598, San Francisco CA, 1990.<br />

[Col01] P. G. Collins, M. S. Arnold and P. Avouris, ’’Engineering carbon nanotubes and nanotube circuits<br />

using electrical breakdown’’, Science, vol. 292, pp. 706-709, 2001.<br />

[Dat89] S. Datta and B. Das, ’’Electronic analog of the electro-optic modulator’’, Applied Physics Letters,<br />

vol. 56 (7), pp. 665-667, 1989.<br />

[Dat02] S. Datta, ’’The non-equilibrium Green's function (NEGF) <strong>for</strong>malism: An elementary introduction’’,<br />

Technical Digest of IEDM, pp. 703-706, San Francisco CA, 2002.<br />

[Del00] S. Deleonibus, C. Caillat, G. Guegan, M. Heitzmann, M. E. Nier, S. Tedesco, B. Dal’zotto, F. Martin,<br />

P. Mur, A. M. Papon, G. Le Carval, S. Biswas and D. Souil, ’’A 20-nm physical <strong>gate</strong> length<br />

NMOSFET featuring 1.2 nm <strong>gate</strong> oxide, sh<strong>all</strong>ow implanted source and drain and BF2 pockets’’,<br />

IEEE Electron Device Letters, vol. 21 (4), pp. 173-175, 2000.<br />

[Den07] R. H. Dennard, J. Cai and A. Kumar, ’’A perspective on today’s scaling ch<strong>all</strong>enges and possible<br />

future directions’’ Solid-State Electronics, vol. 51 (4), pp. 518-525, 2007.<br />

[Den74] R. H. Dennard, F. H. Gaensslen, H-N. Yu, V. L. Rideout, E. Bassous, A. R. Leblanc, ’’Design of ionimplanted<br />

MOSFET's with very sm<strong>all</strong> physical dimensions’’, IEEE Journal of Solid-State Circuits,<br />

vol. 9 (5), pp. 256-268, 1974.<br />

[Dor02] B. Doris, M. Ieong, T. Kanarsky, Y. Zhang, R. A. Roy, O. Dokumaci, Z. Ren, J. Fen-Fen, S. Leathen,<br />

W. Natzle, H-J. Huang, J. Mezzapelle, A. Mocuta, S. Womack, M. Gribelyuk, E. C. Jones, R. J.<br />

Miller, H.-S. P. Wong and W. Haensch, ’’Extreme scaling with ultra-thin Si channel MOSFETs’’,<br />

Technical Digest of IEDM, pp. 267-270, San Francisco CA, 2002.<br />

[Dor03] B. Doris, M. Ieong, T. Zhu, Y. Zhang, M. Steen, W. Natzle, S. C<strong>all</strong>egari, V. Narayanan, J. Cai, S. H.<br />

Ku, P. Jamison, Y. Li, Z. Ren, V. Ku, T. Boyd, T. Kanarsky, C. D'Emic, M. Newport, D. Dobuzinsky,<br />

S. Deshpande, J. Petrus, R. Jammy and W. Haensch, ’’Device design considerations <strong>for</strong> ultra-thin<br />

SOI MOSFETs’’, Technical Digest of IEDM, pp. 27.3.1-27.3.4, Washington DC, 2003.<br />

[Doy03] B. S. Doyle, S. Datta, M. Doczy, S. Hareland, B. Jin, J. Kavalieros, T. Linton, A. Murthy, R. Rios,<br />

and R. Chau, ’’High per<strong>for</strong>mance fully-depleted tri-<strong>gate</strong> CMOS transistors’’, IEEE Electron Device<br />

Letters, vol. 24 (4), pp. 263-265, 2003.<br />

[Dua00] X. Duan and C. M. Lieber, ’’General synthesis of compound semiconductor <strong>nanowires</strong>’’, Advanced<br />

Materials, vol. 12 (4), pp. 298-302, 2000.<br />

[Dua03] X. Duan, Y. Huang, R. Agarwal and C. M. Lieber, ’’Single-nanowire electric<strong>all</strong>y driven lasers’’,<br />

Nature, vol. 421, pp. 241-245, 2003.<br />

[Eco05a] S. Ecoffey, V. Pott, D. Bouvet, M. Mazza, S. Mahapatra, A. Schmid, Y. Leblebici, M. Declercq and<br />

A. M. Ionescu, ’’Nano-wires <strong>for</strong> room temperature operated <strong>hybrid</strong> CMOS-NANO integrated<br />

circuits’’, Digest of Technical Papers of ISSCC, pp. 260-262, San Francisco CA, 2005.<br />

[Eco05b] S. Ecoffey, M. Mazza, V. Pott, D. Bouvet, A. Schmid, Y. Leblebici, M. Declercq and A. M. Ionescu,<br />

’’A new logic family based on <strong>hybrid</strong> MOSFET-poly<strong>silicon</strong> <strong>nanowires</strong>’’, Technical Digest of IEDM,<br />

pp. 269-272, Washington DC, 2005.<br />

[Erd05] The International Roadmap <strong>for</strong> Semiconductor, ’’Emerging Research Device’’, 2005 Edition,<br />

available at http://www.itrs.net/, 2005.<br />

[Ern06] T. Ernst, F. Andrieu, O. Weber, C. Dupre, O. Faynot, F. Ducroquet, L. Clavelier, J.-M. Hartmann, S.<br />

Barraud, G. Ghibaudo and S. Deleonibus, ’’High mobility nano-scaled CMOS: some opportunities<br />

and ch<strong>all</strong>enges’’, Proceedings of ISTDM, pp. 1-2, Princeton NJ, 2006.<br />

[Esh06] The International Roadmap <strong>for</strong> Semiconductor, ’’Environment, Safety and Health’’, 2006 Edition,<br />

available at http://www.itrs.net/, 2006.<br />

[Fen03] C. Fenouillet-Beranger, T. Skotnicki, S. Monfray, N. Carriere and F. Boeuf, ’’Requirements <strong>for</strong><br />

ultra-thin-film devices and new materials on CMOS roadmap’’, IEEE International SOI Conference,<br />

pp. 145-146, Newport Beach CA, 2003.


52 CHAPTER 1: Introduction<br />

[Fio05] G. Fiori, M. G. Pala and G. Iannaccone, ’’Three-dimensional simulation of realistic <strong>single</strong> <strong>electron</strong><br />

transistors’’, IEEE Transactions on Nanotechnology, vol. 4 (4), pp. 415-421, 2005.<br />

[Fon95] L. R. C. Fonseca, A. N. Korotkov, K. K. Likharev and A. A. Odintsov, ’’A numerical study of the<br />

dynamics and statistics of <strong>single</strong> <strong>electron</strong> systems’’, Journal of Applied Physics, vol. 78 (5), pp.<br />

3238-3251, 1995.<br />

[Fos02] J. G. Fossum, L. Ge and M.-H. Chiang, ’’Speed superiority of scaled double-<strong>gate</strong> CMOS’’, IEEE<br />

Transactions on Electron Devices, vol. 49 (5), pp. 808-811, 2002.<br />

[Fra01] D. J. Frank, R. H. Dennard, E. Nowak, P. M. Solomon, Y. Taur and H.-S. P. Wong, ’’Device scaling<br />

limits of Si MOSFETs and their application dependencies’’, Proceedings of the IEEE, vol. 89 (3),<br />

pp. 259-288, 2001.<br />

[Fra02] D. Fraboulet, X. Jehl, D. Mariolle, C. Le Royer, G. Le Carval, P. Scheiblin, P. Riv<strong>all</strong>in, D.<br />

Deleruyelle, L. Mollard, M. E. Nier, A. Toffoli, G. Molas, B. De Salvo, S. Deleonibus and M.<br />

Sanquer, ’’Coulomb Blockade in thin SOI nanodevices’’, Proceedings of ESSDERC, pp. 395-398,<br />

Bologna IT, 2002.<br />

[Ful87] T. A. Fulton and G. D. Dolan, ’’Observation of <strong>single</strong>-<strong>electron</strong> charging effects in sm<strong>all</strong> tunnel<br />

junctions’’, Physical Review Letters, vol. 59, pp. 109-112, 1987.<br />

[Gau03a] J. Gautier, ’’Few <strong>electron</strong> <strong>electron</strong>ics’’, EPFL lecture, 2003.<br />

[Gau03b] J. Gautier, ’’Physique des dispositifs pour circuits intégrés silicium’’, Traité EGEM, Electronique et<br />

micro-électronique, Editions Hermes FR, 2003.<br />

[Gee90] L. J. Geerligs, V. F. Anderegg, P. A. M. Holweg and J. E. Mooij, ’’Frequency-locked turnstile device<br />

<strong>for</strong> <strong>single</strong> <strong>electron</strong>s’’, Physical Review Letters, vol. 64, pp. 2691-2694, 1990.<br />

[Gor51] C. J. Gorter, ’’A possible explanation of the increase of the electrical resistance of thin metal films at<br />

low temperatures and sm<strong>all</strong> field strengths’’, Physica, vol. 17, 1951.<br />

[Gow06] S. Gowda, G. Mathur, Q. Li, S. Surthi and V. Misra, ’’Hybrid <strong>silicon</strong>/molecular FETs: a study of the<br />

interaction of redox-active molecules with <strong>silicon</strong> MOSFETs’’, IEEE Transactions on<br />

Nanotechnology, vol. 5 (3), pp. 258-264, 2006.<br />

[Gwo02] R. Gwoziecki and T. Skotnicki, ’’Physics of the subthreshold slope - Initial improvement and final<br />

degradation in short CMOS devices’’, Proceedings of ESSDERC, pp. 639-642, Bologna IT, 2002.<br />

[He06] R. He and P. Yang, ’’Giant piezoresistance effect in <strong>silicon</strong> <strong>nanowires</strong>’’, Nature nanotechnology, vol.<br />

1, pp. 42-46, 2006.<br />

[Hea98] J. R. Heath, P. J. Kuekes, G. S. Snider and R. S. Williams, ’’A defect-tolerant computer architecture:<br />

opportunities <strong>for</strong> nanotechnology’’, Science, vol. 280, pp. 1716-1721, 1998.<br />

[Hei02] F. O. Heinz, A. Schenk, A. Scholze and W. Fichtner, ’’Full quantum simulation of <strong>silicon</strong>-oninsulator<br />

<strong>single</strong>-<strong>electron</strong> devices’’, Journal of Computational Electronics, vol. 1 (1), pp.161-164,<br />

2002.<br />

[Her01] J. M. Hergenrother, G. D. Wilk, T. Nigam, F. P. Klemens, D. Monroe, P. J. Silverman, T. W. Sorsch,<br />

B. Busch, M. L. Green, M. R. Baker, T. Boone, M. K. Bude, N. A. Ciampa, E. J. Ferry, A. T. Fiory,<br />

S. J. Hillenius, D. C. Jacobson, R. W. Johnson, P. Kalavade, R. Keller, C. A. King, A. Kornblit, H.<br />

W. Krautter, J. T.-C. Lee, W. M. Mansfield, J. F. Miner, M. D. Morris, S.-H. Oh, J. M. Rosamilia, B.<br />

J. Sapjeta, K. Short, K. Steiner, D. A. Muller, P. M. Voyles, J. L. Grazul, E. Shero, M. E. Givens, C.<br />

Pomarede, M. Mazanec, and C. Werkhoven, ’’50 nm vertical replacement-<strong>gate</strong> (VRG) nMOSFETs<br />

with ALD HfO2 and Al2O3 <strong>gate</strong> dielectrics’’, Technical Digest of IEDM, pp. 3.1.1-3.1.4,<br />

Washington DC, 2001.<br />

[Hof05] M. Hofheinz, X. Jehl, M. Sanquer, G. Molas, M. Vinet and S. Deleonibus, ’’Detection of individual<br />

traps in <strong>silicon</strong> nanowire transistors’’, Proceedings of ESSDERC, pp. 225-228, Grenoble FR, 2005.<br />

[Hua01] X. Huang, W.-C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski, E. Anderson, H. Takeuchi, Y.-<br />

K. Choi, K. Asano, V. Subramanian, T.-J. King, J. Bokor and C. Hu, ’’Sub-50nm P-channel<br />

FinFET’’, IEEE Transactions on Electron Devices, vol. 48 (5), pp. 880-886, 2001.<br />

[Iij91] S. Iijima, ’’Helical microtubules of graphitic carbon’’, Nature, vol. 354, pp. 56-58, 1991.<br />

[Ing92] G. L. Ingold and Y. V. Nazarov, chapter in ’’Single charge tunneling - Coulomb Blockade<br />

phenomena in nanostructures’’, Plenum Press and NATO Scientific Affairs Division, pp. 21-107,<br />

New-York NY, 1992.<br />

[Int07a] Online: http://www.intel.com/museum/archives/history_docs/mooreslaw.htm (2007).<br />

[Int07b] Online: http://www.intel.com/pressroom/archive/releases/20070128comp.htm (2007).


Bibliography 53<br />

[Ion04] A. M. Ionescu, S. Mahapatra and V. Pott, ’’Hybrid SETMOS architecture with Coulomb blockade<br />

oscillations and high current drive’’, Electron Device Letters, vol. 25 (6), pp. 411-413, 2004.<br />

[Ion05] A. M. Ionescu and K. Banerjee (Editors), ’’Emerging Nano<strong>electron</strong>ics: Life with and after CMOS’’,<br />

Kluwer Academic Publishers, Springer, 2005.<br />

[Iri04] H. Irie, K. Kita, K. Kyuno and A. Toriwni, ’’In-plane mobility anisotropy and universality under uniaxial<br />

strains in nand p-MOS inversion layers on (100), [110], and (111) Si’’, Technical Digest of<br />

IEDM, pp. 225-228, Washington DC, 2005.<br />

[Jos01] E. Josse, T. Skotnicki, M. Jurczak, M. Paoli, B. Tormen, D. Dutartre, P. Ribot, A.Villaret and E.<br />

Sondergard, ’’High per<strong>for</strong>mance 40 nm vertical MOSFET within a conventional CMOS process<br />

flow’’, Proceedings of VLSI Symposium on Technology, pp. 55-56, Kyoto JP, 2001.<br />

[Jur00] M. Jurczak, T. Skotnicki, M. Paoli, B. Tormen, J. Martins, J.-L. Regolini, D. Dutartre, P. Ribot, D.<br />

Lenoble, R. Pantel and S. Monfray, ’’Silicon-on-Nothing (SON) - an innovative process <strong>for</strong><br />

advanced CMOS’’, IEEE Transactions on Electron Devices, vol. 47 (11), pp. 2179-2187, 2000.<br />

[Kan05] A. Kaneko, A. Yagishita, K. Yahashi, T. Kubota, M. Omura, K. Matsuo, I. Mizushima, K. Okano, H.<br />

Kawasaki, S. Inaba, T. Izumida, T. Kanemura, N. Aoki, K. Ishimaru, H. Ishiuchi, K. Suguro, K.<br />

Eguchi, Y. Tsunashima, ’’Sidew<strong>all</strong> transfer process and selective <strong>gate</strong> sidew<strong>all</strong> spacer <strong>for</strong>mation<br />

technology <strong>for</strong> sub-15nm finfet with elevated source/drain extension’’, Technical Digest of IEDM,<br />

pp. 844-847, Washington DC, 2005.<br />

[Kav06] J. Kavalieros, B. Doyle, S. Datta, G. Dewey, M. Doczy, B. Jin, D. Lionberger, M. Metz, W.<br />

Rachmady, M. Radosavljevic, U. Shah, N. Zelick and R. Chau, ’’Tri-Gate transistor architecture<br />

with high-k <strong>gate</strong> dielectrics, metal <strong>gate</strong>s and strain engineering’’, Proceedings of VLSI Symposium<br />

on Technology, pp. 50-51, Honolulu HI, 2006.<br />

[Kaw02] K. Kawamura, T. Kidera, A. Nakajima and S. Yokoyama, ’’Coulomb blockade effects and<br />

conduction mechanism in extremely thin polycryst<strong>all</strong>ine-<strong>silicon</strong> wires’’, Journal of Applied Physics,<br />

vol. 91 (8), pp. 5213-5220, 2002.<br />

[Kim01] D. H. Kim, S-K. Sung, K. R. Kim, B. H. Choi, S. W. Hwang, D. Ahn, J. D. Lee, B-G. Park, ’’Si<br />

<strong>single</strong>-<strong>electron</strong> transistors with sidew<strong>all</strong> depletion <strong>gate</strong>s and their application to dynamic <strong>single</strong><strong>electron</strong><br />

transistor logic’’, Technical Digest of IEDM, pp. 7.3.1-7.3.4, Washington DC, 2001.<br />

[Kir94] M. Kirihara, N. Kuwamura, K. Taniguchi and C. Hamaguchi, ’’Monte Carlo study of <strong>single</strong> <strong>electron</strong><br />

devices’’, International Conference of Solid State Devices and Materials, pp. 328-330, Kanagawa<br />

JP, 1994.<br />

[Kno91] C. M. Knoedler, ’’Helium-ion damage and nanowire fabrication in GaAs/AlGaAs heterostructures’’,<br />

Journal of Applied Physics, vol. 68, pp. 1129-1137, 1991.<br />

[Kog96] S. Kogan, ’’Electronic noise and fluctuations in solids’’, Cambridge University Press, Cambridge<br />

UK, 1996.<br />

[Kre02] F. Kreupl, A. P. Graham, G. S. Duesberg, W. Steinhögl, M. Liebau, E. Unger and W. Hönlein,<br />

’’Carbon nanotubes in interconnect applications’’, Micro<strong>electron</strong>ic Engineering, vol. 64 (1), pp. 399-<br />

408, 2002.<br />

[Kul75] I. O. Kulik and R. I. Shekhter, ’’Kinetic phenomena and charge discreteness effects in granular<br />

media’’, Soviet Physics - JETP, vol. 41, pp. 308-316, 1975.<br />

[Kuo98] J. B. Kuo and K.-W. Su, ’’CMOS VLSI Engineering Silicon-on-Insulator (SOI)’’, Kluwer Academic<br />

Publishers, Boston MA, 1998.<br />

[Lee04a] S-Y. Lee, S-M. Kim, E-J. Yoon, C. W. Oh, I. Chung, D. Park and K. Kim, ’’Three-dimensional<br />

MBCFET as an ultimate transistor’’, IEEE Electron Device Letters, vol. 25 (4), pp. 217-219, 2004.<br />

[Lee04b] S. W. Lee, D. S. Lee, R. E. Morjan, S. H. Jhang, M. Sveningsson, O. A. Nerushev, Y. W. Park and E.<br />

E. B. Campbell, ’’A three-terminal carbon nanorelay’’, Nano Letters, vol. 4 (10), pp. 2027-2030,<br />

2004.<br />

[Lee05] W-H. Lee, A.Waite, H. Nii, H. M. Nayfeh, V. McGahay, H. Nakayama, D. Fried, H. Chen, L. Black,<br />

R. Bolam, J. Cheng, D. Chidambarrao, C. Christiansen, M. Cullinan-Scholl, D. R. Davies, A.<br />

Domenicucci, P. Fisher, J. Fitzsimmons, J. Gill, M. Gribelyuk, D. Harmon, J. Holt, K. Ida, M. Kiene,<br />

J. Kluth, C. Labelle, A. Madan, K. Malone, P. V. McLaughlin, M. Minami, D. Mocuta, R. Murphy,<br />

C. Muzzy, M. Newport, S. Panda, I. Peidous, A. Sakamoto, T. Sato, G. Sudo, H. VanMeer, T.<br />

Yamashita, H. Zhu, P. Agnello, G. Bronner G. Freeman, S-F Huang, T. Ivers, S. Luning, K.<br />

Miyamoto, H. Nye, J. Pellerin, K. Rim, D. Schepis, T. Spooner, X. Chen and M. Khare, ’’High<br />

Per<strong>for</strong>mance 65 nm SOI technology with enhanced transistor strain and advanced-low-k BEOL’’,<br />

Technical Digest of IEDM, Washington DC, 2005.


54 CHAPTER 1: Introduction<br />

[Lee06] W. Lee, P. Su, H-Y. Chen, C.-Y. Chang, K-W. Su, S. Liu and F-L. Yan, ’’An assessment of Single-<br />

Electron effects in multiple-<strong>gate</strong> SOI MOSFETs with 1.6-nm <strong>gate</strong> oxide near room temperature’’,<br />

IEEE Electron Device Letters, vol. 27 (3), pp. 182-184, 2006.<br />

[Leo97] E. Leobandung, J. Gu, L. Guo and S. Y. Chou, ’’Wire-channel and wrap-<strong>around</strong>-<strong>gate</strong> metal-oxidesemiconductor<br />

field-effect transistors with a significant reduction of short channel effects’’, Journal<br />

of Vacuum Science and Technology: B, vol. 15 (6), pp. 2791-2794, 1997.<br />

[Lik87] K. K. Likharev and V. K. Semenov, ’’Possible logic circuits based on the correlated <strong>single</strong> <strong>electron</strong><br />

tunneling in ultrasm<strong>all</strong> junctions’’, Extended Abstract of ISEC, pp. 128-131, Tokyo JP, 1987.<br />

[Lik99] K. K. Likharev, ’’Single <strong>electron</strong> devices and their applications’’, Proceedings of the IEEE, vol. 87<br />

(4), pp. 606-632, 1999.<br />

[Llo00] S. Lloyd, ’’Ultimate physical limits to computation’’, Nature, vol. 406, pp. 1047-1054, 2000.<br />

[Lo99] S-H. Lo, D. A. Buchanan and Y. Taur, ’’Modeling and characterization of quantization, poly<strong>silicon</strong><br />

depletion, and direct tunneling effects in MOSFETs with ultrathin oxides’’, IBM Journal of Research<br />

and Development, vol. 43 (3),1999.<br />

[Lom88] C. Lombardi, S. Manzini, A. Saporito and M. Vanzi, ’’A physic<strong>all</strong>y based mobility model <strong>for</strong><br />

numerical simulation of non planar devices’’, IEEE Transactions on CAD, vol. 7 (11), pp. 1164-<br />

1171, 1988.<br />

[Lun02] M. Lundstrom and Z. Ren, ’’Essential physics of carrier transport in nanoscale MOSFETs’’, IEEE<br />

Transactions on Electron Devices, vol. 49 (1), pp. 133-141, 2002.<br />

[Mad02] M. Madou, ’’Fundamentals of Microfabrication’’, CRC editor, 2002.<br />

[Mah06] S. Mahapatra and A. M. Ionescu, ’’Hybrid CMOS <strong>single</strong> <strong>electron</strong> transistor device and circuit<br />

design’’, Artech House Publishers, Boston MA, 2006.<br />

[Mas83] G. Masetti, M. Severi and S. Solmi, ’’Modeling of carrier mobility against carrier concentration in<br />

Arsenic-, Phosphorus- and Boron-doped Silicon’’, IEEE Transactions on Electron Devices, vol. 30,<br />

pp. 764-769, 1983.<br />

[Mas02a] W. P. Maszara, Z. Krivokapic, P. King, J.-S. Goo and M.-R. Lin, ’’Transistors with dual work<br />

function metal <strong>gate</strong>s by <strong>single</strong> full silicidation (FUSI) of poly<strong>silicon</strong> <strong>gate</strong>s’’, Technical Digest of<br />

IEDM, pp. 367-370, San Francisco CA, 2002.<br />

[Mas02b] M. Masahara, T. Matsukawa, K. Ishii, L. Yongxun, H. Tanoue, K. Sakamoto, T. Sekigawa, H.<br />

Yamauchi, S. Kanemaru and E. Suzuki, ’’15-nm-thick Si channel w<strong>all</strong> vertical double-<strong>gate</strong><br />

MOSFET’’, Technical Digest of IEDM, pp. 949-951, San Francisco CA, 2002.<br />

[Mas07] MASTAR application: http://www.itrs.net/models.html (2007).<br />

[Mel03] N. A. Melosh, A. Boukai, F. Diana, B. Gerardot, A. Badolato, P. M. Petroff and J. R. Heath,<br />

’’Ultrahigh-density nanowire lattices and circuits’’, Science, vol. 300, pp. 112-115, 2003.<br />

[Mil11] R. Millikan, ’’On the elementary electrical charge and the Avogadro constant’’, Selected papers of<br />

Great American Physicists, online: http://www.aip.org/history/gap/index.html, 2007.<br />

[Miz94] T. Mizuno, J-I. Okamura, and A. Toriumi, ’’Experimental study of threshold voltage fluctuation due<br />

to statistical variation of channel dopant number in MOSFET's’’, IEEE Transactions on Electron<br />

Devices, vol. 41 (11), pp. 2216-2221, 1994.<br />

[Mon01] S. Monfray, T. Skotnicki, Y. Morand, S. Descombes, M. Paoli, P. Ribot, A. Talbot, D. Dutartre, F.<br />

Leverd, Y. Lefriec, R. Pantel, M. Haond, D. Renaud, M.-E. Nier, C. Vizioz and D. Louis, ’’First 80<br />

nm SON (Silicon-On-Nothing) MOSFETs with perfect morphology and high electrical<br />

per<strong>for</strong>mance’’, Technical Digest of IEDM, pp. 29.7.1 - 29.7.4, Washington DC, 2001.<br />

[Mon03] S. Monfray, A. Souifi, F. Boeuf, C. Ortolland, A. Poncet, L. Militaru, D. Chanemougame and T.<br />

Skotnicki, ’’Coulomb-Blockade in nanometric Si-film Silicon-On-Nothing (SON) MOSFETs’’,<br />

IEEE Transactions on Nanotechnology, vol. 2 (4), 2003.<br />

[Moo65] G. E. Moore, ’’Cramming more components onto integrated circuits’’, Electronics, vol. 38 (8), 1965.<br />

[Moo75] G. E. Moore, ’’Progress in digital integrated <strong>electron</strong>ics’’, Technical Digest of IEDM, pp. 11-13,<br />

Washington DC, 1975.<br />

[Moo95] G. E. Moore, ’’Lithography and the future of Moore’s law’’, Proceedings of SPIE, vol. 2437, San<br />

Jose CA, 1995.<br />

[Moo03] G. E. Moore, ’’No exponential is <strong>for</strong>ever, but <strong>for</strong>ever can be delayed’’, Digest of Technical Papers of<br />

ISSCC, vol. 1, pp. 20-23, 2003.


Bibliography 55<br />

[Mos07] K. E. Moselund, V. Pott, D. Bouvet and A. M. Ionescu, ’’Abrupt current switching due to impact<br />

ionization effects in Ω-MOSFET on low doped bulk <strong>silicon</strong>’’, Proceedings of ESSDERC, pp. 287-<br />

290, Munich DE, 2007.<br />

[Mul86] R. S. Muller and T. I. Kamins, ’’Device Electronics <strong>for</strong> Integrated Circuits’’, John Wiley and Sons,<br />

1986.<br />

[Nai05] C. McNairy and R. Bhatia, ’’MonteCito: A Dual-Core, Dual-Thread Itanium Processor’’, IEEE<br />

Micro, vol. 25 (2), pp. 10-20, 2005.<br />

[Nam97] H. Namatsu, K. Kurihara, M. Nagase and T. Makino, ’’Fabrication of 2-nm-wide <strong>silicon</strong> quantum<br />

wires through a combination of a parti<strong>all</strong>y-shifted resist pattern and orientation-dependent etching’’,<br />

Applied Physics Letters, vol. 70 (5), pp. 619-621, 1997.<br />

[Nee94] R. J. Needs, A. J. Read, K. J. Nash, S. Bhattarcharjee, A. Qteish, L. T. Canham and P. D. J. Calcott,<br />

’’A first-principles study of the <strong>electron</strong>ic properties of <strong>silicon</strong> quantum wires’’, Physica A:<br />

Statistical and Theoretical Physics, vol. 207 (1-3), pp. 411-414, 1994.<br />

[Neh05] K. Nehari, N. Cavassilas, J. L. Autran, M. Bescond, D. Munteanu and M. Lannoo, ’’Influence of<br />

band-structure on <strong>electron</strong> b<strong>all</strong>istic transport in <strong>silicon</strong> nanowire MOSFET's: an atomistic study’’,<br />

Proceedings of ESSDERC, pp. 229-232, Grenoble FR, 2005.<br />

[Nej03] H. Nejo, P. Dorozhkin and H. Hori, ’’Observation of Coulomb Blockade and interference using a<br />

carbon nanotube tip’’, Japanese Journal of Applied Physics, vol. 42, pp. 4743-4747, 2003.<br />

[Nik05] D. E. Nikonov and G. I. Bourianoff, ’’Spin gain transistor in ferromagnetic semiconductors - the<br />

semiconductor Bloch-equations approach’’, IEEE Transactions on Nanotechnology, vol. 4 (2), pp.<br />

206-214, 2005.<br />

[Oka05] K. Okano, T. Izumida, H. Kawasaki, A. Kaneko, A. Yagishita, T. Kanemura, M. Kondo, S. Ito, N.<br />

Aoki, K. Miyano, T. Ono, K. Yahashi, K. Iwade, T. Kubota, T. Matsushita, I. Mizushima, S. Inaba,<br />

K. Ishimaru, K. Suguro, K. Eguchi, Y. Tsunashima and H. Ishiuchi, ’’Process integration technology<br />

and device characteristics of CMOS FinFET on bulk <strong>silicon</strong> substrate with sub-10 nm fin width and<br />

20 nm <strong>gate</strong> length’’, Technical Digest of IEDM, pp. 721-724, Washington DC, 2005.<br />

[Osb98] C. M. Osburn and K. R. Bellur, ’’Low parasitic resistance contacts <strong>for</strong> scaled ULSI devices’’, Thin<br />

Solid Films, vol. 332, pp. 428-436, 1998.<br />

[Pan04] P. Pandey, B. B. Pal and S. Jit, ’’A new 2-D model <strong>for</strong> the potential distribution and threshold<br />

voltage of fully depleted short-channel Si-SOI MESFETs’’, IEEE Transactions on Electron Devices,<br />

vol. 51 (2), pp. 246-254, 2004.<br />

[Par01a] J.-T. Park, C. A. Colinge and J.-P. Colinge, ’’Comparison of <strong>gate</strong> structures <strong>for</strong> short-channel SOI<br />

MOSFETs’’, IEEE International SOI Conference, pp. 115-116, Durango CO, 2001.<br />

[Par01b] J.-T. Park, J.-P. Colinge and C. H. Diaz, ’’Pi-Gate SOI MOSFET’’, IEEE Electron Device Letters,<br />

vol. 22 (8), pp. 405-406, 2001.<br />

[Par02] J. Park, A. N. Pasupathy, J. I. Goldsmith, C. Chang, Y. Yaish, J. R. Petta, M. Rinkoski, J. P. Sethna,<br />

H. D. Abruña, P. L. McEuen and D. C. Ralph, ’’Coulomb blockade and the Kondo effect in <strong>single</strong>atom<br />

transistors’’, Nature, vol. 417, pp. 722-725, 2002.<br />

[Par03] M. C. B. Parish and M. Forshaw, ’’Physical constraints on magnetic quantum cellular automata’’,<br />

Applied Physics Letters, vol. 83 (10), pp. 2046-2048, 2003.<br />

[Par06] D. Park, ’’3 dimensional GAA transitors: twin <strong>silicon</strong> nanowire MOSFET and multi-bridge-channel<br />

MOSFET’’, IEEE International SOI Conference, pp. 131-134, Niagara F<strong>all</strong>s NY, 2006.<br />

[Pid06] The International Roadmap <strong>for</strong> Semiconductor, ’’Process Integration, Devices and structures’’, 2006<br />

Edition, available at http://www.itrs.net/, 2006.<br />

[Plu01] J. D. Plummer and P. B. Griffin, ’’Material and process limits in <strong>silicon</strong> VLSI technology’’,<br />

Proceedings of the IEEE, vol. 89 (3), pp. 240-258, 2001.<br />

[Por00] D. Porath, A. Bezryadin, S. de Vries and C. Dekker, ’’Direct measurement of electrical transport<br />

through DNA molecules’’, Nature, vol. 403, pp. 635-638, 2000.<br />

[Pot91] H. Pothier, P. Lafarge, P. F. Orfila, C. Urbina, D. Esteve and M. H. Devoret, ’’Single <strong>electron</strong> pump<br />

fabrication with ultrasm<strong>all</strong> normal tunnel junctions’’, Physica B, vol. 169, pp. 573-574, 1991.<br />

[Qia00] L. Qiang, Y. C. Yeo, P. Ranade, H. Takeuchi, T.-J. King, C. Hu, S. C. Song, H. F. Luan and D.-L.<br />

Kwong, ’’Dual-metal <strong>gate</strong> technology <strong>for</strong> deep-submicron CMOS transistors’’, Proceedings of VLSI<br />

Symposium on Technology, pp. 72-73, Honolulu HI, 2000.<br />

[Rei02] J. Reichert, R. Ochs, D. Beckmann, H. B. Weber, M. Mayor and H. v. Löhneysen, ’’Driving current<br />

through <strong>single</strong> organic molecules’’, Physical Review Letters, vol. 88 (17), 2002.


56 CHAPTER 1: Introduction<br />

[Roy94] S. A. Roy, ’’Simulation tools <strong>for</strong> the analysis of <strong>single</strong> <strong>electron</strong> systems’’, University of Glasgow<br />

UK, PhD dissertation thesis, 1994.<br />

[Rim00] K. Rim, J. L. Hoyt and J. F. Gibbons, ’’Fabrication and analysis of deep submicron strained-Si N-<br />

MOSFET’s’’, IEEE Transactions on Electron Devices, vol. 47 (7), pp. 1406-1415, 2000.<br />

[Sai02] M. Saitoh, T. Murakami and T. Hiramoto, ’’Effects of oxidation process on the tunneling barrier<br />

structures in room-temperature operating <strong>silicon</strong> <strong>single</strong>-<strong>electron</strong> transistors’’, IEEE Transactions on<br />

Nanotechnolgy, vol. 1 (4), pp. 214-218, 2002.<br />

[Sch01] T. Schulz, W. Rösner, L. Risch, A. Korbel and U. Langmann, ’’Short-Channel vertical sidew<strong>all</strong><br />

MOSFETs’’, IEEE Transactions on Electron Devices, vol. 48 (8), pp. 1783-1788, 2001.<br />

[Sch04] A. Schmid and Y. Leblebici, ’’Robust circuit and system design methodologies <strong>for</strong> nanometer-scale<br />

devices and <strong>single</strong>-<strong>electron</strong> transistors’’, IEEE Transactions on Very Large Scale Integration<br />

Systems, vol. 12 (11), 2004.<br />

[She93] M.-Y. Shen and S.-L. Zhang, ’’Band gap of a <strong>silicon</strong> quantum wire’’, Physics Letters A, vol. 176 (3-<br />

4), pp. 254-258, 1993.<br />

[Shi00] K. Shiraishi, M. Nagase, S. Horiguchi, H. Kageshima, M. Uematsu, Y. Takahashi and K. Murase,<br />

’’Designing of <strong>silicon</strong> effective quantum dots by using the oxidation-induced strain: a theoretical<br />

approach’’, Physica E, vol. 7 (3), pp. 337-341, 2000.<br />

[Sin06] N. Singh, F. Y. Lim, W. W. Fang, S. C. Rustagi, L. K. Bera, A. Agarwal, C. H. Tung, K. M. Hoe, S.<br />

R. Omampuliyur, D. Tripathi, A. O. Adeyeye, G. Q. Lo, N. Balasubramanian and D. L. Kwong,<br />

’’Ultra-narrow <strong>silicon</strong> nanowire <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> CMOS devices: impact of diameter, channelorientation<br />

and low temperature on device per<strong>for</strong>mance’’, Technical Digest of IEDM, pp. 1-4, San<br />

Francisco CA, 2006.<br />

[Sko93] T. Skotnicki, G. Merckel and C. Denat, ’’MASTAR - A model <strong>for</strong> analog simulation of subthreshold,<br />

saturation and weak avalanche regions in MOSFETs’’, VPAD International Workshop, pp. 146-147,<br />

Nara JP, 1993.<br />

[Sko00] T. Skotnicki, ’’Heading <strong>for</strong> decananometer CMOS - Is navigation among icebergs still a viable<br />

strategy?’’, Proceedings of ESSDERC, pp. 19-33, Cork IE, 2000.<br />

[Sko05] T. Skotnicki, ’’CMOS technologies <strong>for</strong> end of roadmap’’, EPFL Lecture, 2005.<br />

[Son06] J. Y. Song, W. Y. Choi, J. H. Park, J. D. Lee and B.-G. Park, ’’Design optimization of <strong>gate</strong>-<strong>all</strong>-<strong>around</strong><br />

(GAA) MOSFETs’’, IEEE Transactions on Nanotechnology, vol. 5 (3), pp. 186-191, 2006.<br />

[Sug04] S. Sugahara and M. Tanaka, ’’A spin metal-oxide-semiconductor field-effect transistor using halfmet<strong>all</strong>ic-ferromagnet<br />

contacts <strong>for</strong> the source and drain’’, Applied Physics Letters, vol. 84 (13), pp.<br />

2307-2309, 2004.<br />

[Suk05] S. D. Suk, S.-Y. Lee, S.-M. Kim, E.-J. Yoon, M.-S. Kim, M. Li, C. W. Oh, K. H. Yeo, S. H. Kim, D.-<br />

S. Shin, K.-H. Lee, H. S. Park, J. N. Han, C. J. Park, J.-B. Park, D.-W. Kim, D. Park and B.-I. Ryu,<br />

’’High per<strong>for</strong>mance 5nm radius Twin Silicon Nanowire MOSFET (TSNWFET) : fabrication on bulk<br />

Si wafer, characteristics, and reliability’’, Technical Digest of IEDM, pp. 717-720, Washington DC,<br />

2005.<br />

[Sze02] S. M. Sze, ’’Semiconductor devices: Physics and Technology’’, John Wiley & Sons, 2 nd Edition,<br />

2002.<br />

[Tak96] Y. Takahashi, H. Namatsu, K. Kurihara, K. Iwadate, M. Nagase and K. Murase, ’’Size dependence<br />

of the characteristics of Si Single-Electron Transistors on SIMOX substrates’’, IEEE Transactions<br />

on Electron Devices, vol. 43 (8), pp. 1213-1217, 1996.<br />

[Tak01] K. Takayanagi, Y. Kondo and H. Ohnishi, ’’Suspended gold <strong>nanowires</strong>: b<strong>all</strong>istic transport of<br />

<strong>electron</strong>s’’, JSAP International, vol. 3, pp. 3-8, 2001.<br />

[Tan00] X. Tang, X. Baie, J.-P. Colinge, F. Van de Wiele and V. Bayot, ’’Quantum effects in SOI <strong>single</strong>-hole<br />

transistors’’, Proceedings of ESSDERC, pp. 220-223, Cork IE, 2000.<br />

[Tan03] T. Tanaka, Y. Ohno, S. Kishimoto, K. Maezawa and T. Mizutani, ’’Experimental demonstration of<br />

capacitor-coupled resonant tunneling logic <strong>gate</strong>s <strong>for</strong> ultra-short <strong>gate</strong>-delay operation’’, Japanese<br />

Journal of Applied Physics, vol. 42 (11), pp. 6766-6771, 2003.<br />

[Tau97] Y. Taur and E. J. Nowak, ’’CMOS devices below 0.1 µm: how high will per<strong>for</strong>mance go?’’,<br />

Technical Digest of IEDM, pp. 215-218, Washington DC, 1997.<br />

[Tau98] Y. Taur and T. H. Ning, ’’Fundamentals of Modern VLSI Devices’’, Cambridge University Press,<br />

Cambridge UK, 1998.<br />

[Tiw95] S. Tiwari, F. Rana, C. Wei, K. Chan and H. Hanafi, ’’A low power 77K nano-memory with <strong>single</strong><br />

<strong>electron</strong> nano-crystal storage’’, Proceedings of ESSDERC, pp. 50-51, The Hague NL, 1995.


Bibliography 57<br />

[Top06] News, ’’Top five in physics’’, Nature, vol. 441, pp. 265, 2006.<br />

[Uch01] K. Uchida, J. Koga, R. Ohba, S. Takagi and A. Toriumi, ’’Silicon <strong>single</strong>-<strong>electron</strong> tunneling device<br />

fabricated in an undulated ultrathin <strong>silicon</strong>-on-insulator film’’, Journal of Applied Physics, vol. 90<br />

(7), pp. 3551-3557, 2001.<br />

[Uch02] K. Uchida, H. Watanabe, A. Kinoshita, J. Koga, T. Numata and S. Takagi, ’’Experimental study on<br />

carrier transport mechanism in ultrathin-body SOI nand p-MOSFETs with SOI thickness less than 5<br />

nm’’, Technical Digest of IEDM, pp. 47-50, San Francisco CA, 2002.<br />

[Wak03] H. Wakabayashi, S. Yamagami, N. Ikezawa, A. Ogura, M. Narihiro, K. Arai, Y. Ochiai, K. Takeuchi,<br />

T. Yamamoto and T. Mogami, ’’Sub-10-nm planar-bulk-CMOS devices using lateral junction<br />

control’’, Technical Digest of IEDM, pp. 20.7.1 - 20.7.3, Washington DC, 2003.<br />

[Was97] C. Wasshuber, H. Kosina and S. Selberherr, ’’SIMON: a simulator <strong>for</strong> <strong>single</strong>-<strong>electron</strong> tunnel devices<br />

and circuits’’, IEEE Transactions on Computer-Aided design of Integrated Circuits and Systems,<br />

vol. 16, pp. 937-944, 1997.<br />

[Was01] C. Wasshuber, ’’Computational Single-Electronics’’, Springer Verlag, Wien AT, 2001.<br />

[Was05] R. Waser (Editor), ’’Nano<strong>electron</strong>ics and in<strong>for</strong>mation technology’’, Wiley-VCH Verlag, Weinheim<br />

DE, 2005.<br />

[Wat03] H. Watanabe, K. Matsuzawa and S. Takagi, ’’Scaling effects on <strong>gate</strong> leakage current’’, IEEE<br />

Transactions on Electron Devices, vol. 50 (8), pp. 1779-1784, 2003.<br />

[Web06] W. M. Weber, L. Geelhaar, A. P. Graham, E. Unger, G. S. Duesberg, M. Liebau, W. Pamler, C.<br />

Chèze, H. Riechert, P. Lugli and F. Kreupl, ’’Silicon-nanowire transistors with intruded nickelsilicide<br />

contacts’’, Nano Letters, vol. 6 (12), pp. 2660-2666, 2006.<br />

[Wid04] J. Widiez. F. Daugé. M. Vinet. T. Poiroux. B. Previtalil, M. Mouis and S. Deleonibus, ’’Experimental<br />

<strong>gate</strong> misalignment analysis on double <strong>gate</strong> SOI MOSFETs’’, IEEE International SOI Conference,<br />

pp. 185-186, Charleston SC, 2004.<br />

[Wil00] G. D. Wilk, R. M. W<strong>all</strong>ace and J. M. Anthony, ’’Hafnium and zirconium silicates <strong>for</strong> advanced <strong>gate</strong><br />

dielectrics’’, Journal of Applied Phyics, vol. 87 (1), pp. 484-492, 2000.<br />

[Wil01] G. D. Wilk, R. M. W<strong>all</strong>ace and J. M. Anthony, ’’High-k <strong>gate</strong> dielectrics: Current status and materials<br />

properties considerations’’, Journal of Applied Physics, vol. 89 (10), pp. 5243-5275, 2001.<br />

[Won97] H.-S. P. Wong, K. K. Chan, and Y. Taur, ’’Self-aligned (top and bottom) double-<strong>gate</strong> MOSFET with<br />

a 25 nm thick <strong>silicon</strong> channel’’, Technical Digest of IEDM, pp. 427-430, Washington DC, 1997.<br />

[Xia06] J. Xiang, W. Lu, Y. Hu, Y. Wu, H. Yan and C. M. Lieber, ’’Ge/Si nanowire heterostructures as highper<strong>for</strong>mance<br />

field-effect transistors’’, Nature, vol. 441, pp. 489-493, 2006.<br />

[Yan93] K. Yano, T. Ishii, T. Hashimoto, T. Kobayashi, F. Murai and K. Seki, ’’A room-temperature Single-<br />

Electron Memory device using fine-grain polycryst<strong>all</strong>ine <strong>silicon</strong>’’, Technical Digest of IEDM, pp.<br />

541-544, Washington DC, 1993.<br />

[Yan97] I. Y. Yang, C. Vieri, A. Chandrakasan and D. A. Antoniadis, ’’Back-Gated CMOS on SOIAS <strong>for</strong><br />

dynamic threshold voltage control’’, IEEE Transactions on Electron Devices, vol. 44 (5), pp. 822-<br />

831, 1997.<br />

[Yan98] K. Yano, T. Ishii, T. Sano, T. Mine, F. Murai, T. Kure and K. Seki, ’’A 128Mb early prototype <strong>for</strong><br />

gigascale <strong>single</strong>-<strong>electron</strong> memories’’, Digest of Technical Papers of ISSCC, pp. 344-346, 1998.<br />

[Yan02] F.-L. Yang, H.-Y. Chen, F.-C. Chen, C.-C. Huang, C.-Y. Chang, H.-K. Chiu, C.-C. Lee, C.-C. Chen,<br />

H.-T. Huang, C.-J. Chen, H.-J. Tao, Y.-C. Yeo, M.-S. Liang and C. Hu, ’’25 nm CMOS Omega<br />

FETs’’, Technical Digest of IEDM, pp. 255-258, San Francisco CA, 2002.<br />

[Yan04] F.-L. Yang, D.-H. Lee, H.-Y. Chen, C.-Y. Chang, S.-D. Liu, C.-C. Huang, T.-X. Chung, H.-W. Chen,<br />

C.-C. Huang, Y.-H. Liu, C.-C. Wu, C.-C. Chen, S.-C. Chen, Y.-T. Chen, Y.-H. Chen, C.-J. Chen, B.-<br />

W. Chan, P.-F. Hsu, J.-H. Shieh, H.-J. Tao, Y.-C. Yeo, Y. Li, J.-W. Lee, P. Chen, M.-S. Liang and C.<br />

Hu, ’’5nm-<strong>gate</strong> nanowire FinFET’’, Proceedings of VLSI Symposium on Technology, pp. 196-197,<br />

Honolulu HI, 2004.<br />

[Yu06] Y. S. Yu, H. W. Kye, B. N. Song , S-J. Kim and J-B. Choi, ’’A new multi-valued static random<br />

access memory (MVSRAM) with <strong>hybrid</strong> circuit consisting of <strong>single</strong>-<strong>electron</strong> (SE) and MOSFET’’,<br />

Proceedings of ISCAS, pp. 4575-4578, Kos GR, 2006.<br />

[Zha03] S. Zhang, X. Lin, R. Huang, R. Han and M. Chan, ’’A self-aligned, electric<strong>all</strong>y separable double<strong>gate</strong><br />

MOS transistor technology <strong>for</strong> dynamic threshold voltage application’’, IEEE Transactions on<br />

Electron Devices, vol. 50 (11), pp. 2297-2300, 2003.<br />

[Zhi05] V. Zhirnov, J. A. Hutchby, G. I. Bourianoff and J. E. Brewer, ’’Emerging research logic devices’’,<br />

IEEE Circuits & Devices Magazine, vol. 21 (3), pp. 37-46, 2005.


Chapter 2<br />

Silicon nanowire <strong>for</strong> MOS/SET<br />

combined functionality<br />

In this second chapter, we study the <strong>silicon</strong>-based <strong>single</strong> <strong>electron</strong> transistor. The first part is a<br />

detailed review of main technology and modelling approaches used <strong>for</strong> the integration of SET. It<br />

seems highly relevant to present how engineers try to control Coulomb blockade in nano-metric<br />

<strong>silicon</strong> structures. Typical SET fabrication technologies include pattern dependent oxidation, nanolithography,<br />

top-down <strong>silicon</strong> <strong>nanowires</strong> and <strong>silicon</strong> wire growth. This chapter shows also how<br />

strong is the link between physics, modelling and technology, and why these should never be<br />

disconnected. The diversity in SET technology and SET modelling impose a very careful study of<br />

previous reports.<br />

Then, crucial points of the EPFL <strong>silicon</strong> nanowire are presented. We show how we use finite element<br />

analysis <strong>for</strong> SET/MOS device simulation. Full 3D simulation tools of integration process are still not<br />

available, but some key computing points, like corners effect in <strong>silicon</strong> triangular cross-sections or<br />

inversion levels in nano-scale <strong>silicon</strong> channels are efficiently addressed with TCAD tools.<br />

Fin<strong>all</strong>y, a short description of a unique <strong>hybrid</strong> micro-electro-mechanical SET device - the SET-<br />

NEMS architecture - is proposed and discussed.


60 CHAPTER 2: Silicon nanowire <strong>for</strong> MOS/SET combined functionality<br />

2.1 Bridge the gap with the <strong>single</strong> <strong>electron</strong> transistor<br />

This chapter focuses on the geometry and modelling of <strong>silicon</strong> nanowire transistors. We propose first<br />

a summary of mono-cryst<strong>all</strong>ine <strong>silicon</strong>-based SET, including a state-of-the-art review of the<br />

proposed architectures, integration processes and device per<strong>for</strong>mances.<br />

Our devices modelling is based on both analytical and numerical analysis. Main results of <strong>hybrid</strong><br />

MOS/SET model concepts have been published at ESSDERC 2006 [Pot06].<br />

Fin<strong>all</strong>y, we shortly present in this chapter the architecture of a SET-NEMS device that was origin<strong>all</strong>y<br />

proposed at IEDM 2003 [Mah03].<br />

Silicon is currently the main material used <strong>for</strong> the integration of <strong>single</strong> <strong>electron</strong> devices. The well<br />

established CMOS fabrication technology is ideal <strong>for</strong> making nano-scale structures. However, both a<br />

reproducible SET technology and a 3D full-device <strong>silicon</strong> SET model are still completely lacking.<br />

The SET is not a mature device and no technology has proved good enough results <strong>for</strong> industrial<br />

applications. The origin of these difficulties comes from the extremely sm<strong>all</strong> size of the SET and<br />

from multi-physical effects that interfer in the charge transport (interface scattering, <strong>single</strong> doping<br />

effect, Coulomb blockade, quantization). The two boxes presented in Figure 2.1 show a melting-pot<br />

of major modelling and technology approaches proposed in the literature. We will discuss these<br />

approaches and then we motivate our original ideas.<br />

Poisson<br />

equation<br />

Random<br />

dopant<br />

fluctuation<br />

Silicon nanowire<br />

SET modelling<br />

Non-equilibrium<br />

Green's function<br />

<strong>for</strong>malism<br />

Figure 2.1: Main <strong>silicon</strong> nanowire SET modelling and technology proposed during the last 15 years.<br />

How to gap modelling and technology?<br />

To conclude this introduction, we would like to emphasize the link between an hourglass and the<br />

<strong>single</strong> <strong>electron</strong> transistor. This is an extremely elegant image of an SET.<br />

Victorian Hour Glass<br />

XIX th Century<br />

Schrödinger equation<br />

1D-2D-3D quantization<br />

Gravity<br />

Orthodox<br />

theory<br />

Multi-configurational<br />

self consistent<br />

Green's function<br />

Source<br />

Figure 2.2: Is the <strong>single</strong> <strong>electron</strong> transistor the<br />

hourglass of the XXI st Century?<br />

e -<br />

e -<br />

e -<br />

e -<br />

e -<br />

e -<br />

e -<br />

Drain<br />

Atomistic<br />

modelling<br />

Electric<br />

field<br />

Single Electron Transistor<br />

XXI st Century<br />

Bridge the<br />

gap<br />

Top-down<br />

<strong>nanowires</strong><br />

Vertical<br />

SET<br />

STM/AFM<br />

nano-oxidation<br />

Pattern dependent<br />

oxidation<br />

Silicon-based<br />

SET technology<br />

Silicon <strong>nanowires</strong><br />

growth<br />

E-beam<br />

lithography<br />

Autoaligned<br />

techniques<br />

Electric<strong>all</strong>y<br />

induced SET<br />

Quantum modelling of SET can be compared to<br />

a sand hourglass, as shown in Figure 2.2. First<br />

hourglasses have been built during the XIth Century to measure time at sea. About thousand<br />

years later, the same geometry was used in<br />

<strong>single</strong> <strong>electron</strong>ics: a junction between two large<br />

carrier reservoirs. At the junction, quantization<br />

of the carriers (sand grains or <strong>electron</strong>s) is taken<br />

into account. The scale difference between the<br />

two devices is about 108 !<br />

If modelling of a realistic <strong>single</strong> <strong>electron</strong><br />

transistor is considered as a ch<strong>all</strong>enging task,<br />

then the detailed mechanics of the sandglass is<br />

also a very famous physics problem [Mil96].


The cryst<strong>all</strong>ine <strong>silicon</strong> SET: geometry and architecture 61<br />

2.2 The cryst<strong>all</strong>ine <strong>silicon</strong> SET: geometry and architecture<br />

This section presents main geometries and fabrication process proposed <strong>for</strong> the integration of <strong>silicon</strong><br />

<strong>single</strong> <strong>electron</strong> devices. The <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> original technology we developed is in the dedicated<br />

chapter: Hybrid SET/MOS technology.<br />

Silicon is currently the main SET plat<strong>for</strong>m. The plot presented in Figure 2.3 is a statistical evolution<br />

of the number of publications having the key word Single Electron in their titles. This statistic is an<br />

easy way to highlight the true impact of an emerging technology, as Single Electronics. The research<br />

is made <strong>for</strong> the last 20 years in three representative scientific publishers: IEEE Explorer 1 , Science-<br />

Direct 2 and SCIENCE 3 . The trend is a slight increase of published references.<br />

Number of references<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

Key word = Single <strong>electron</strong><br />

IEEE<br />

Science Direct<br />

SCIENCE<br />

Figure 2.3: Representative evolution of <strong>single</strong> <strong>electron</strong>ics publications plotted <strong>for</strong> the last 20 years.<br />

2.2.1 Pattern dependent oxidation of SOI nano-structures<br />

The pattern dependent oxidation (PADOX) technology is with no doubt the most advanced<br />

technology developed <strong>for</strong> the fabrication of the <strong>silicon</strong> SET. This was initi<strong>all</strong>y studied by Japanese<br />

research groups, essenti<strong>all</strong>y by the NTT company. PADOX consists in oxidizing a SOI nanostructure<br />

into a double constricted shape. Many remarkable reports have been published <strong>for</strong> more<br />

than 12 years, emphasizing main variants of the PADOX. In 1996, the paper [Tak96] is<br />

demonstrating how pattern dependent oxidation of SOI <strong>nanowires</strong> is efficiently used <strong>for</strong> the<br />

fabrication of SET. In Figure 2.4, we show the original NTT SET design (the <strong>gate</strong> is a deposited<br />

LPCVD poly<strong>silicon</strong> layer and is not displayed) and associated g m -V G characteristics.<br />

Figure 2.4: (Left) 3D view of a PADOX SET transistor, by [Tak96]. (Right) Measured g m-V G<br />

characteristics at various temperatures. The original device wire length is 50nm.<br />

1. See: http://ieeexplore.ieee.org/Xplore/dynhome.jsp<br />

2. See: http://www.sciencedirect.com/<br />

3. See: http://www.sciencemag.org/<br />

1988 1992 1996 2000 2004<br />

Year of publications


62 CHAPTER 2: Silicon nanowire <strong>for</strong> MOS/SET combined functionality<br />

Silicon-on-insulator SET defined by the PADOX process are always structured in the same fashion:<br />

1. Definition of a SOI nanowire (Figures 2.4 and 2.6) or a SOI point contact pattern (Figure<br />

2.5). SOI wires are usu<strong>all</strong>y patterned with high resolution e-beam lithography.<br />

2. Dry atmosphere oxidation. This creates the two SET junctions. A <strong>single</strong> or multiple<br />

<strong>silicon</strong> dots in the center of the channel act as <strong>silicon</strong> SET islands.<br />

Silicon oxidation is a stress dependent phenomena. Stress analysis during oxidation is<br />

used to shape the <strong>silicon</strong> in an ideal junction-island-junction SET geometry. The PADOX<br />

oxide usu<strong>all</strong>y serves also as a <strong>gate</strong> oxide.<br />

A large aspect ratio <strong>silicon</strong> wire (wire width >> <strong>silicon</strong> thickness) results in twin par<strong>all</strong>el<br />

<strong>silicon</strong> wires after oxidation. This effect is c<strong>all</strong>ed the vertical PADOX (V-PADOX).<br />

3. Deposition and patterning of a <strong>single</strong> top <strong>gate</strong> (Figure 2.6) or multiple lateral <strong>gate</strong>s.<br />

Another PADOX device is presented in Figure 2.6. In this work, reported in [Ish97], authors are<br />

initi<strong>all</strong>y patterning - by e-beam and anisotropic etching - a <strong>single</strong> contact channel between two SOI<br />

larger pads. According to the authors, such a geometry results in a <strong>single</strong> dot SET after oxidation.<br />

Quantum dot and SET capacitances are extracted from electrical measurements. Process device<br />

improvement, with a less than 4.4nm <strong>single</strong> island diameter and a large 259meV charging energy, is<br />

reported in 2001 in [Sai01].<br />

Figure 2.5: (Left) Point contact SOI SET transistor proposed by [Ish97]. (Right) I D vs. V G -V DS plot<br />

measured at T=4.2K. Clear I D -V G rhombus shapes and I D -V DS current plateaux are observed.<br />

Further development in the PADOX process consists in accurately control the SET island dimension<br />

to have reproducible and <strong>for</strong>eseeable characteristics. The increase of the operational temperature is<br />

also a great ch<strong>all</strong>enge.<br />

As an example, the report [Nam03] highlights the role of the nanowire dimension in transport<br />

characteristics. Process pictures in Figure 2.6 are ultra-precisely defined <strong>silicon</strong> <strong>nanowires</strong>. After<br />

PADOX and a <strong>gate</strong> stack integration, a measurement statistic is realized. Large wires are not<br />

sensitive to Coulomb blockade, mid-range wires are ideal oscillating SET, while over-oxidized wires<br />

are non-conductive at <strong>all</strong>. This paper shows how the range of SET island dimension is limited to a<br />

very tiny window.<br />

Figure 2.6: (Left) SOI <strong>nanowires</strong> defined by high resolution e-beam lithography [Nam03] on<br />

negative HSQ resist. (Right) A tilted SEM view of a nanowire SET transistor.


The cryst<strong>all</strong>ine <strong>silicon</strong> SET: geometry and architecture 63<br />

Reports on PADOX-processed SET are not only focused on the technology, but also on other aspects,<br />

like quantum mechanics, process and device simulation or basic SET/CMOS circuitry.<br />

The two papers [Shi00] and [Hor01] propose hypothesis on the <strong>for</strong>mation of tunnel junctions by<br />

<strong>silicon</strong> oxidation. In fact, it is difficultly understandable how a continuous nano-constricted <strong>silicon</strong><br />

wire acts as a tunnel junction at low temperature. The junctions resulting from a continuous <strong>silicon</strong><br />

nanowire have a completely different nature, compared with ideal dielectric tunnel junctions.<br />

As shown in Figure 2.7, a combined effect of 1D quantization and strained <strong>silicon</strong> is necessary to<br />

shape the <strong>silicon</strong> conduction band in a double junction structure. The central part of the wire behaves<br />

as a quantum well <strong>for</strong> the <strong>electron</strong>s.<br />

Bulk <strong>silicon</strong><br />

Unstrained<br />

<strong>silicon</strong> wire<br />

Strained<br />

<strong>silicon</strong> wire<br />

S<br />

S<br />

1D confined<br />

<strong>silicon</strong> wire<br />

D<br />

Figure 2.7: The blockade of charges in PADOX <strong>nanowires</strong> is explained by the combined effect of (i)<br />

1D quantization, resulting in the conduction band splitting and (ii) compressive strain in the central<br />

part of the wire, reducing the <strong>silicon</strong> band-gap. Geometries and associated band diagrams are shown.<br />

The complete model of the combined 1D-quantized and strained <strong>silicon</strong> is described in [Hor01].<br />

Authors obtain a band-gap reduction of about 0.1eV (<strong>silicon</strong> band-gap=1.1eV at T=300K) <strong>for</strong> a<br />

100nm long <strong>silicon</strong> wire, 10nm x 10nm in the cross-section, and a large 1% compressive strain. They<br />

consider a gaussian distributed strain with a maximum strain density in the center of the wire.<br />

One-dimensional singularities in <strong>silicon</strong> can be analytic<strong>all</strong>y calculated, as presented in [Was05]. The<br />

sm<strong>all</strong>er the dimensions, and the larger the band splitting. However, the distance between the different<br />

split conduction bands is not constant. The impact of 1D band splitting is now considered in many<br />

<strong>silicon</strong> nanowire/FinFET transistors. The paper [Col06] is very clearly showing how <strong>electron</strong>s are<br />

sensitive to 1D density of states singularities (See Section 4.3.2).<br />

However, due to the sm<strong>all</strong> energy difference between two successive bands, oscillating<br />

characteristics corresponding to the progressive filling of sub-bands are only observed at low<br />

temperature, where the energy of <strong>electron</strong>s kT is comparable to the sub-band energy differences. The<br />

finite elements analysis is the best way to simulate any given wire geometry.<br />

Other PADOX reports include various interesting discussions. The paper [Tak02] is a valuable<br />

review of <strong>silicon</strong> <strong>single</strong>-<strong>electron</strong> devices and geometries. The reference [Mor01] highlights the<br />

evidence of activated conduction in <strong>silicon</strong> SET. Indeed, contrary to metal-based SET, <strong>silicon</strong>-based<br />

SET junction have temperature dependent characteristics. The [Mor01] paper also show that PADOX<br />

SET may have multiple induced islands. A complete analysis of temperature vs. current is shown and<br />

different activation mechanisms are discussed.<br />

Fin<strong>all</strong>y, SET/CMOS circuit reports have also been recently proposed. As a good example, we can<br />

mention [Nis04]. Authors propose and experiment<strong>all</strong>y demonstrate a basic method to automatic<strong>all</strong>y<br />

control the oscillation phase of SET, and so to cancel any parasitic background charge effect.<br />

D<br />

S<br />

Strain: bandgap reduction<br />

1D confined <strong>silicon</strong><br />

wire + strain<br />

S<br />

E Si<br />

1D band splitting<br />

D<br />

D<br />

E C<br />

E V<br />

E C<br />

E V<br />

E C<br />

E V


64 CHAPTER 2: Silicon nanowire <strong>for</strong> MOS/SET combined functionality<br />

2.2.2 Coulomb Blockade in MOS transistors<br />

In this section, we show some examples of Coulomb blockade sensitive MOS transistors. All papers<br />

reported in this section are demonstrating how advanced MOS devices may exhibit <strong>single</strong> <strong>electron</strong><br />

characteristics at low V DS bias and at cryogenic temperature, while standard n-type/p-type MOS<br />

characteristics are observed at room temperature. The combined SET/MOS effect has to be clearly<br />

discussed, as well as the origin of the Coulomb island and the SET junctions. In a MOS structure, the<br />

channel of the transistor could be electrostatic<strong>all</strong>y turned into a quantum dot at low temperature.<br />

One of the first detailed report on controlled <strong>single</strong>-<strong>electron</strong> effects in ultra-short <strong>silicon</strong> FET was<br />

published in 2003 by STMicro<strong>electron</strong>ics and CEA Grenoble, in [Boe03]. Transistors are integrated<br />

on a low-doped bulk substrate and have a 16nm long channel. At low temperature, non-overlapped<br />

source and drain regions act as tunnel junctions. The electrostatic potential along the channel is<br />

simulated and clearly prove the isolation of a dot by two potential barriers. I D -V G oscillations are<br />

observed at T=4.2K and C G =23aF is extracted from the histogram of peak spacing. This capacitance<br />

corresponds to a factor of three sm<strong>all</strong>er than the nominal channel capacitance. Hundreds of<br />

oscillations are observed <strong>for</strong> both nMOS and pMOS transistors. At low temperature, a double I DS<br />

contribution exists in the device: a CB fluctuation contribution and a continuous variation reflecting<br />

changes in the induced barriers height.<br />

Non-overlapped <strong>silicon</strong>-on-nothing (SON) MOSFET have also been investi<strong>gate</strong>d <strong>for</strong> <strong>single</strong>-<strong>electron</strong><br />

applications. This device, reported in [Mon03], exhibit also a potential camel’s back shape along the<br />

channel at cryogenic temperature and at low V DS . The sm<strong>all</strong> channel volume acts as an SET quantum<br />

box. As in [Boe03], a double drive an oscillating currents are observed.<br />

Silicon-on-insulator MOSFET with nano-constrictions have also shown Coulomb oscillations at low<br />

temperature. As an example, devices and measurements published by CEA Grenoble in [Jeh03] show<br />

Coulomb blockade in <strong>silicon</strong> devices even without intentional tunnel barriers. This paper is<br />

particularly focusing on the role of access resistances in transport characteristics. In their conclusion,<br />

authors demonstrate a Coulomb charging energy inversely proportional to the channel-<strong>gate</strong> overlap<br />

surface. A sm<strong>all</strong>er channel dimension (both W and L) will result in an increase of the Coulomb<br />

energy, and so in a higher operational temperature SET.<br />

In 2005, a very remarkable study of <strong>silicon</strong> nanowire transistor operating at cryogenic temperature<br />

was proposed again by CEA Grenoble [Hof05]. This report shows how to determine the occurrence<br />

of <strong>single</strong> traps in the <strong>silicon</strong> channel, by exploiting the SET extreme sensitivity to offset charges.<br />

This work represents there<strong>for</strong>e a step towards the characterization of individual dopant atoms in<br />

ultra-scaled MOSFET. A device TEM cross-section and a transconductance vs. <strong>gate</strong> voltage are<br />

proposed in Figure 2.8. Single traps are detected by anomalous CB characteristics.<br />

Figure 2.8: (Left) Transmission <strong>electron</strong> micrograph along a <strong>silicon</strong> nanowire transistor and<br />

equivalent electrical model [Hof05]. (Right) Transconductance vs. <strong>gate</strong> voltage characteristics<br />

measured at T=300K and 0.7K. Anomalous oscillations in the CB plot are highlighted by circles.<br />

The next example of observed Coulomb blockade in MOS transistors was published in 2006 by the<br />

two Taiwanese groups of Chiao-Tung University and TSMC [Lee06]. Coulomb blockade is observed<br />

<strong>for</strong> the first time at room temperature in ultra-scaled FinFET (H fin=40nm, W fin=25nm and<br />

L G=30nm). An undoped region under the spacers act as two electrostatic tunnel barriers, while the<br />

equivalent SET island is the <strong>silicon</strong> fin. As in previous reports, the shape of this quantum box may be<br />

de<strong>for</strong>med by the applied <strong>gate</strong> voltage, because of barriers modulation. A device plot is shown in


The cryst<strong>all</strong>ine <strong>silicon</strong> SET: geometry and architecture 65<br />

Figure 2.9 (left). On the same Figure is reported a g m /V DS vs. V G curve measured at T=20°C. Again,<br />

a combined contribution of MOS and SET is observed in the drain current characteristics. This recent<br />

report is a highly promising work but this may also worry CMOS experts! From one side, room<br />

temperature oscillations in ultra-scaled MOS transistors may be considered as a parasitic effect, but<br />

on the other side this also signifies that a simple and well-designed MOS transistor may be directly<br />

used as a <strong>single</strong>-<strong>electron</strong> transistor.<br />

Figure 2.9: (Left) FinFET MOS transistor geometry investi<strong>gate</strong>d in [Lee06] and cross-section view<br />

along the channel direction. (Right) Periodic CB oscillations measured at T=20°C. In the inset, a<br />

power spectrum density FFT trans<strong>for</strong>m representing the distribution of peak spacing ΔV G .<br />

Other groups - like EPFL - have reported Coulomb blockade in MOS transistors. The last example is<br />

a work from LMU Munich [Til03]. Authors study electrical transport within 10nm wide and 500nm<br />

long nanowire transistors defined on p-type SIMOX wafers. The wire is passivated by 15nm grown<br />

oxide. Then, 40nm of oxide and a met<strong>all</strong>ic front <strong>gate</strong> are deposited. A dip in the I DS -V DS curve is<br />

observed <strong>around</strong> V DS =0 and is attributed to sh<strong>all</strong>ow tunneling barriers within the wire. This study<br />

shows also, that despite an imperfect nanowire processing (contact resistances, process variability,<br />

edge roughness), pronounced quantum effects are visible.<br />

2.2.3 Highly doped <strong>silicon</strong> <strong>nanowires</strong><br />

Coulomb blockade oscillations on uni<strong>for</strong>mly doped SOI <strong>nanowires</strong> have been observed and<br />

discussed by different groups. Contrary to the MOS geometry, where pn junctions are introduced<br />

during the process, fully doped wires are also sensitive to Coulomb blockade. Different physical<br />

models of tunnel barriers are proposed, as <strong>silicon</strong> nano-constrictions, strain combined with 1D<br />

quantization or the unique role of <strong>single</strong> dopant atoms at nano-scale.<br />

Side <strong>gate</strong> 1<br />

200nm<br />

2DEG<br />

0DEG<br />

1DEG<br />

2DEG<br />

Side <strong>gate</strong> 2<br />

Figure 2.10: SEM picture of a SET structure, by<br />

[Aug00]. The central 0DEG quantum dot is<br />

about 20nm diameter. 10nm wide 1DEG <strong>silicon</strong><br />

wires are designed as tunnel barriers.<br />

In the paper [Aug00] published by the<br />

University of Tübingen, authors investi<strong>gate</strong><br />

highly doped <strong>silicon</strong> SET with geometric<strong>all</strong>y<br />

well defined tunnel barriers. The devices are<br />

fabricated on SOI wafers and are 3x1018cm-3 ntype<br />

doped. As shown in Figure 2.10, two side<br />

<strong>gate</strong>s are controlling the device electrostatic.<br />

Source and drain are 2D Electron Gas (2DEG),<br />

the tunnel barriers 1DEG and the central dot is<br />

considered as a 0DEG with fully quantized<br />

energy levels. This kind of device behaves<br />

either as a <strong>single</strong> or as a multiple dot SET with<br />

randomly <strong>for</strong>med barriers. This depends on the<br />

geometrical barriers resolution. The maximum<br />

device operational temperature is about 28K.


66 CHAPTER 2: Silicon nanowire <strong>for</strong> MOS/SET combined functionality<br />

The real advantage to use highly doped <strong>silicon</strong> nanowire is demonstrated in 2001, in the report<br />

[Eva01]. In this paper, the origin of barriers and islands is attributed to <strong>single</strong> dopant atoms.<br />

Figure 2.11: Potential fluctuation along a 2DEG<br />

10 19 cm -3 doped <strong>silicon</strong> wire, by [Eva01].<br />

The study of randomly distributed <strong>electron</strong> islands in highly doped <strong>silicon</strong> <strong>nanowires</strong> has been<br />

extended by other R&D groups, and more particularly in devices optimization and scaling. For<br />

example, the paper [Til01] shows how a double <strong>gate</strong> nanowire transistor, including a top met<strong>all</strong>ic<br />

<strong>gate</strong> and a side <strong>gate</strong>, has been implemented and characterized. Almost periodic conductance<br />

oscillations are observed in 500nm long and 25nm x 10nm in cross-section As-doped <strong>silicon</strong><br />

<strong>nanowires</strong>. An I D -V D Coulomb gap is also measured at low temperature (T


The cryst<strong>all</strong>ine <strong>silicon</strong> SET: geometry and architecture 67<br />

Electric<strong>all</strong>y induced SET proposed in [Kim01] have oscillations at temperatures higher than 77K.<br />

SET basic logic operations are demonstrated at T=10K. Compared with other Si-SET technologies,<br />

the reproducibility of observed characteristics is quite good. Furthermore, as the island is an induced<br />

dot, then the lithographic resolution is not extremely critical.<br />

This technique has been continued, as reported in 2004 in [Hu04]. In this new process, variations in<br />

the metal <strong>gate</strong> and an improved floating <strong>gate</strong> integration are introduced. This device exhibits MOS<br />

characteristics at room temperature and Coulomb blockade at cryogenic temperature. However, deep<br />

analysis of measured data and the relatively large dimension (~30nm diameter) of the induced<br />

channel show that multiple islands may be induced in the channel part of the wire.<br />

2.2.5 Gate-<strong>all</strong>-<strong>around</strong> <strong>silicon</strong> <strong>nanowires</strong><br />

Oscillating characteristics have been very recently reported in <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> (GAA) <strong>silicon</strong><br />

<strong>nanowires</strong>. The core of our original EPFL GAA technology is described in Section 3.4 and<br />

experimental data in Chapter 4. However, the group of Singh and Kwong, from Singapore Science<br />

Park, has measured nano-scale GAA devices with low temperature fluctuations [Sin06], as shown in<br />

Figure 2.13. Un<strong>for</strong>tunately, authors are still not discussing the exact origins of the observed low<br />

temperature fluctuations.<br />

5nm<br />

Figure 2.13: (Left) TEM micrograph of a triangular <strong>silicon</strong> nanowire surrounded by 4nm of oxide.<br />

(Right) Temperature dependent I D -V G characteristics of a 6nm diameter <strong>silicon</strong> nanowire, measured<br />

at V D =50mV. The <strong>gate</strong> length is 350nm. Both pictures are from [Sin06].<br />

The <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> geometry is now a very topical research subject. This structure offers an ideal<br />

channel control, <strong>for</strong> both MOS and SET devices. The Chapter 1.4.5 is introducing GAA-MOS<br />

transistors and demonstrates how this is a solution <strong>for</strong> advanced CMOS applications.<br />

2.2.6 Other <strong>silicon</strong> SET integration technologies<br />

The technologies presented in the previous sections are currently the most advanced and well-known<br />

methods used <strong>for</strong> the integration of <strong>silicon</strong> SET. Others approaches are reported in the literature.<br />

These contributions seem surprising, sometimes not realistic or even funny, but they have <strong>all</strong> the<br />

merit to explore original technics and geometries. This includes:<br />

• The vertical SET: this device was investi<strong>gate</strong>d in 1997 by NTT and reported in [Aus97].<br />

The vertical SET has however attracted much less attention than the planar SET.<br />

• Nano-fabrication with proximal probes: Atomic Force Microscopy (AFM) and Scanning<br />

Tunneling Microscopy (STM) are quite attractive <strong>for</strong> local processing. Localized nanooxidation<br />

<strong>for</strong> <strong>single</strong> <strong>electron</strong> applications has been reported under specific conditions, <strong>for</strong><br />

example in [Mat97]. Various materials and device geometries have been proposed and<br />

show encouraging results. However, such a fabrication process cannot be exploited <strong>for</strong><br />

large scale integration.<br />

• Growth of <strong>silicon</strong> <strong>nanowires</strong>: The catalytic growth of <strong>single</strong>-crystal <strong>silicon</strong> <strong>nanowires</strong> has<br />

been proposed by different groups. A very clear and efficient process is described in<br />

[Cui00]. This paper shows two-terminal characterization of Si nanowire transistors, where<br />

the conduction is controlled by a met<strong>all</strong>ic <strong>gate</strong>. No evidence <strong>for</strong> low temperature Coulomb<br />

blockade is however reported. Potential applications of Si nanowire as a SET design are<br />

discussed. One-dimensional <strong>nanowires</strong>, used as emerging devices <strong>for</strong> logic applications,<br />

are described in Section 1.5.6.


68 CHAPTER 2: Silicon nanowire <strong>for</strong> MOS/SET combined functionality<br />

2.3 Silicon nanowire devices comparison<br />

The large variety of devices presented in the previous section shows how large is the diversity of<br />

<strong>silicon</strong> technologies. This section compares per<strong>for</strong>mances to emphasize advantages and limitations<br />

of each technology. This includes also EPFL devices integrated and measured during this thesis<br />

(Measurement are in Chapter 4).<br />

The parameters (island capacitance, tunnel barrier resistances,...) are extracted with the Orthodox<br />

theory (see Section 1.5.2). Despite the fact that Orthodox theory does not perfectly fit <strong>for</strong> <strong>silicon</strong>based<br />

SET, this model has been used by authors during many years and serves as a reference. Field<br />

effects in <strong>silicon</strong> or tunnel barrier height modulation are not taken into account by this <strong>for</strong>malism.<br />

We would like also to suggest, as a remarkable example, the new approach proposed in [Ind07] <strong>for</strong><br />

the computation of Coulomb effects in <strong>silicon</strong> wires. This new model is based on multiconfigurational<br />

self-consistent Green’s function combined with Hartree NEGF. Authors demonstrate,<br />

<strong>for</strong> the first time, the slow transition from low-temperature SET behavior to room temperature<br />

MOSFET operation.<br />

A summary of typical device parameters is presented in the Table 2.1. The per<strong>for</strong>mances are reported<br />

by authors in different ways and some data are missing.<br />

Device<br />

TABLE 2.1: COMPARISON BETWEEN TYPICAL DEVICE PERFORMANCES.<br />

PADOX SOI<br />

devices<br />

Blockade in<br />

MOSFET<br />

Doped<br />

nanowire<br />

Electric<strong>all</strong>y<br />

induced<br />

SET<br />

GAA wires<br />

This work:<br />

local-SOI<br />

Section 2.2.1 2.2.2 2.2.3 2.2.4 2.2.5 4.3<br />

Dot type<br />

Island<br />

capacitance<br />

Tunnel<br />

barriers a<br />

Max I D b<br />

ID peak-tov<strong>all</strong>ey<br />

ratioc Oscillating<br />

V G-range<br />

Si channel<br />

doping<br />

Number of<br />

oscillations<br />

SOI<br />

constriction<br />

SOI<br />

MOSFET<br />

Dopant<br />

atoms<br />

Induced SOI<br />

island<br />

1D<br />

quantization<br />

Induced in<br />

corners<br />

0.1-0.4aF 10.5aF 1.7aF 1.06-3.2aF - 6-16aF<br />

- - variable induced - ~10MΩ<br />

- - 100-400pA 500pA 10nA 10pA<br />

- ~2 decades 1-2 decades >2 decades weak 3 decades<br />

2-6V ~2V >1V ~5V<br />

- - 2x10 19 cm -3 n-type<br />

(phosphorus)<br />

~10<br />

many and<br />

regular<br />

many and<br />

irregular<br />

many and<br />

irregular<br />

500mV<br />

weak<br />

inversion<br />

p-type<br />

10 15 cm -3<br />

a few<br />

irregular<br />

a. Quantum tunnel resistance, extracted by Orthodox theory <strong>for</strong>malism in the CB mode.<br />

b. Maximum current level in the CB regime (peak-current).<br />

c. Measured in the CB regime.<br />

200mV<br />

weak<br />

inversion<br />

p-type<br />

10 15 cm -3<br />

Wire length 10-35nm 40nm 70-80nm ~40nm 350nm 1-1.2μm<br />

Max CB<br />

temperature<br />

30K 20K<br />

Measured at<br />

T=4.2K only<br />

Oscillations<br />

at T=4.2K<br />

8<br />

~35K 20K<br />

Gate oxide 15-40nm 24nm ~20nm 10nm 4nm 10-20nm<br />

Lithography e-beam e-beam e-beam e-beam phase-shift optical<br />

Reference [Nam03] [Hof05] [Alt03] [Hu04] [Sin06] [Pot06]


Finite element analysis of <strong>silicon</strong> <strong>nanowires</strong> 69<br />

2.4 Finite element analysis of <strong>silicon</strong> <strong>nanowires</strong><br />

The <strong>silicon</strong> nanowire device, as shown in the previous sections, is the key structure <strong>for</strong> the combined<br />

fabrication of SET and MOS on a same technology plat<strong>for</strong>m.<br />

This section proposes finite element simulation and analysis of specific device cross-section shapes<br />

and dimensions. The different simulation tools used 1 are addressing the two following problems:<br />

• Corner effect in triangular device (see Figure 3.43 on page 107)<br />

• Quantization effects in circular cross-section devices (see Figure 3.33 on page 101)<br />

MOSFET simulations only are considered. No Coulomb Blockade code is implemented in the various<br />

scripts we used. However, due to the seamless transition from room temperature MOSFET behavior<br />

to cryogenic temperature SET behavior (see Section 4.3), this analysis serves <strong>for</strong> a full device<br />

understanding.<br />

2.4.1 Corner effect in triangular cross-section devices<br />

Corner effects in MOS transistor [Sta04] are observed at the proximity of two adjacent <strong>gate</strong> regions<br />

(See Figure 3.34). Effects such as a higher electric field and a lower threshold voltage V T occur.<br />

Corner effects are mainly influenced by the body doping, the dielectric (<strong>gate</strong> oxide) thickness, the<br />

geometry (angle of the corner, rounded shape) and the temperature of the <strong>silicon</strong> lattice.<br />

In the subthreshold region, earlier inversion in the corners than in the edges of the devices are<br />

observed. In some cases, devices show a double threshold voltage or a double peak in the g m -V G<br />

characteristic. This effect is essenti<strong>all</strong>y considered as parasitic by many authors!<br />

Corner phenomenon become significant only <strong>for</strong> high body doping (N A >5x10 18 cm -3 ) and at low<br />

temperature. Indeed, as suggested by the band structure in Figure 2.14, corner effects are higher<br />

when the difference between the Fermi level E F and the valence band E V is reduced.<br />

EF-Ei [eV]<br />

0.6<br />

100<br />

200<br />

Temperature, T [K]<br />

Figure 2.14: Fermi level E F in <strong>silicon</strong> as a function of temperature <strong>for</strong> two different acceptor levels.<br />

The Equation 2.1 is the effective density of states in the valence band and serves as input <strong>for</strong><br />

Equation 2.2, that should be minimized to enhance corner effects.<br />

Effective density of states in the valence band: NV 2 2πm0 (2.1)<br />

h 2<br />

3 2<br />

⎛ ⋅ ----- ⎞<br />

⎝ ⎠<br />

⁄<br />

=<br />

NV Difference between EF and EV: EF – EV =<br />

kTln⎛------<br />

⎞<br />

(2.2)<br />

⎝N⎠ A<br />

In the Equation 2.1, m 0 stands <strong>for</strong> the free-<strong>electron</strong> mass (m 0 =0.91x10 -30 kg) [Sze02].<br />

1. See: http://www.synopsys.com/products/tcad/tcad.html<br />

0<br />

-0.6<br />

Conduction band<br />

Valence band<br />

E C<br />

Ei<br />

EF: NA=10 18 cm -3<br />

EF: NA=10 15 cm -3<br />

EV<br />

300<br />

kT


70 CHAPTER 2: Silicon nanowire <strong>for</strong> MOS/SET combined functionality<br />

The Table 2.2 summarizes E F -E V values <strong>for</strong> different temperatures and body doping levels.<br />

TABLE 2.2: E F -E V CALCULATED AS A FUNCTION OF TEMPERATURE AND BODY DOPING.<br />

Temperature<br />

p-type doping<br />

300K 150K 77K<br />

10 15 cm -3 262meV 118meV 53.7meV<br />

10 17 cm -3 143meV 58meV 23.1meV<br />

5x10 18 cm -3 41.7meV 7.41meV ~0<br />

As <strong>all</strong> our devices integrated by the local-SOI or SOI technology (See Sections 3.4 and 3.5) are lowdoped<br />

(typic<strong>all</strong>y 10 15 cm -3 ), we cannot expect a strong impact from corner effects.<br />

Theory and simulation predict that at room temperature and <strong>for</strong> a doping concentration as high as<br />

N A =5x18cm -3 , the corner current cannot be distinguished from the main current at the edges of the<br />

device. However, at low temperature (typic<strong>all</strong>y below 150K) and <strong>for</strong> a highly doped body, it gets<br />

possible to observe a double peak in both g m -V G and I D -V G characteristics.<br />

The finite element simulation investi<strong>gate</strong>d in this work highlights, that even if a double peak of the<br />

g m -V G is not observed, there is still a much higher concentration of minority carriers in the corners.<br />

This higher concentration increases the ON-current in the strong inversion mode. Corner inversion<br />

appears in the subthreshold mode and, in this regime only, a volume inversion is induced in the<br />

corners.<br />

Furthermore, due to quantum distribution at the interface, a darkspace in <strong>silicon</strong> pushes the<br />

maximum carrier concentration far away from the interfaces (See Section 1.3.4 on page 24). This can<br />

bring substantial enhancement of the carrier mobility by reducing phonon and surface roughness<br />

scattering.<br />

The simulations proposed in the Figures 2.15 to 2.17 show the <strong>electron</strong> carrier density in low-doped<br />

(N A=10 15 cm -3 ) triangular wires. These cross-section shapes correspond exactly to the cross-section<br />

of a low temperature oscillating device (See Section 4.3.1). Indeed, the role of corners to induce SET<br />

islands in the weak inversion regime is essential.<br />

The triangular cross-section is 45nm x 30nm and the <strong>gate</strong> oxide thickness is 20nm. We used a<br />

Density-Gradient approach [Con02] in order to partly take into account energy quantization that<br />

exist in such a sm<strong>all</strong> cross-section. The Density-Gradient model is discussed in the next section.<br />

Fin<strong>all</strong>y, no stress was introduced in the simulation, even if stress in sm<strong>all</strong> cross-section has a strong<br />

impact, as demonstrated in the Section 4.2.4.<br />

Figure 2.15: Electron density simulation in a low-doped triangular cross-section, biased in the weak<br />

inversion regime. The two AA’ and BB’ cut lines are displayed on the left picture. No corner effect is<br />

observed, even in the sharper corner AA’.


Finite element analysis of <strong>silicon</strong> <strong>nanowires</strong> 71<br />

Figure 2.16: Electron density simulation in a low-doped triangular cross-section, biased at V T =V G .<br />

A significant corner effect is observed, and particularly in the sharper corner AA’, which has a<br />

double <strong>electron</strong> density compared to an edge interface.<br />

Figure 2.17: Electron density simulation in a low-doped triangular cross-section, biased in the strong<br />

inversion regime. A ratio of about 8 is found in the <strong>electron</strong> density between the sharpest corner AA’<br />

and an edge interface. This cross-section shape is fully depleted.<br />

A comparison between measurement and simulation is proposed in Figure 2.18. The current is<br />

calculated with Equation 4.7, using a high constant mobility of 800cm 2 /Vs (See Figure 4.12).<br />

Figure 2.18: Simulation and measurement (corresponding to Figure 4.16) of a 1μm long device.


72 CHAPTER 2: Silicon nanowire <strong>for</strong> MOS/SET combined functionality<br />

The plots in Figure 2.18 deserve the following comments:<br />

•At V D =200mV, this device is still in the linear regime (in strong inversion), as shown in<br />

Figure 4.30. This justifies the Equation 4.7 used to calculate the total drain current in the<br />

device.<br />

• The OFF-current of the real device is about 0.1pA, and is dictated by the SiO 2 /Si<br />

interface quality (charges in the channel). This is not taken into account by the simulator.<br />

• The matching between simulated and measured subthreshold slope and threshold voltage<br />

is pretty good.<br />

• The ON-current of the measured device is higher. This is due to the channel enlargement<br />

at the source and drain sides.<br />

The investigation of corner effects was also done at T=77K on a low-doped (N A =10 15 cm -3 )<br />

triangular cross-section. As predicted by theory, no double peak in the I D -V G characteristic is<br />

observed. Simulation results are given in Figure 2.19.<br />

Figure 2.19: (Left) Log. and lin. scales I D -V G simulation of a 1μm long device, in the strong<br />

inversion regime. At this temperature, an increased mobility of 1500cm 2 /Vs is used, accordingly to<br />

Figure 4.13. No double threshold is observed. (Right) AA’ and BB’ cross-sections through the<br />

device. The difference between corner and edge interfaces is important, compared to room<br />

temperature simulation.<br />

In this section, the role and importance of corners is highlighted. The use of a Density-Gradient<br />

approach, instead of the basic drift-diffusion equations, has also shown how local volume inversion<br />

appears in the corners, when the device is biased in the subthreshold regime. A more details<br />

simulation, based on a coupled Poisson-Schroedinger algorithm, is given in the Section 4.3.2.<br />

2.4.2 Density-Gradient simulation of circular <strong>nanowires</strong><br />

The second key simulation we would like to present in this chapter is the strong impact of energy<br />

quantization that appear in highly reduced channel cross-sections. We have observed devices with a<br />

5nm-diameter, as shown in Figure 3.33. In such sm<strong>all</strong> cross-sections, the <strong>electron</strong>s are 2D-quantized<br />

and free along the length of the wire.<br />

The Density-Gradient method was used as a simulation model, and compared with results based on<br />

the Poisson equation only. Density-Gradient theory [Con02] is a device-oriented continuum<br />

description of <strong>electron</strong> transport that includes lowest-order energy quantization (and not a complete<br />

quantum description, as the Schroedinger equation 1 ).<br />

The Density-Gradient model in the DESSIS 2 simulator is implemented in terms of a partial<br />

differential equation of the Schroedinger equation. Details are beyond the scope of this report.<br />

1. Microscopic theory (Schroedinger) is however not more fundamental or better than a macroscopic approach<br />

(Drift-diffusion). Better depends on one’s applications. They are rather complementary and both useful.<br />

2. See: http://www.synopsys.com/products/tcad/tcad.html


Finite element analysis of <strong>silicon</strong> <strong>nanowires</strong> 73<br />

The main advantage of the Density-Gradient, compared with Schroedinger equation, is a much<br />

reduced resolution time and a numeric<strong>all</strong>y very robust algorithm.<br />

The first simulation proposed is shown in Figure 2.20. This shows the <strong>electron</strong> density distribution<br />

across a low-doped 5nm diameter wire. In the strong inversion mode, the two <strong>electron</strong> density peaks<br />

are so close that a quasi-constant profile appears.<br />

Figure 2.20: Room temperature Density-Gradient simulation of a 5nm <strong>silicon</strong> diameter device<br />

(N A =10 15 cm -3 ), biased at different voltages. The wire is fully depleted. The <strong>gate</strong> oxide is 20nm and<br />

highly doped <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> poly<strong>silicon</strong> (N D =10 20 cm -3 ) is used as a <strong>gate</strong> electrode.<br />

The same algorithm is used to compute the total <strong>electron</strong> density integral (see Figure 2.21). Contrary<br />

to Figure 2.18, we do not calculate the total current in the device using Equation 4.7, because of the<br />

uncertainty on the mobility value.<br />

A room temperature measurement of a 5nm diameter device, corresponding to this simulation, is<br />

however proposed in Figure 4.7. The extracted low-field mobility in this device is about 480cm 2 /Vs<br />

and is quite high compared with the extreme width/surface ratio.<br />

Figure 2.21: Log. and lin. characteristics of the <strong>electron</strong> density integral versus the <strong>gate</strong> voltage. A<br />

comparison between Poisson only and a coupled Poisson Density-Gradient simulations shows how<br />

energy quantization slightly reduces the <strong>electron</strong> density in the section.


74 CHAPTER 2: Silicon nanowire <strong>for</strong> MOS/SET combined functionality<br />

2.5 The SET-NEMS architecture<br />

In this final section, we briefly present a SET-NEMS combined architecture that was origin<strong>all</strong>y<br />

proposed in 2003 by EPFL and presented at IEDM [Mah03]. This device architecture is motivated by<br />

the fact, that it <strong>all</strong>ows the compensation of the background charge effect by tuning the value of the<br />

SET <strong>gate</strong> capacitance. NEMS stands <strong>for</strong> nano-electro-mechanical systems. The core of the SET-<br />

NEMS device is a <strong>silicon</strong> nanowire. Above it, we proposed to add a moving electrode that acts as a<br />

second tunable <strong>gate</strong>.<br />

A 3D sketch of a SET-NEMS device, as well as a channel cross-section, are proposed in Figure 2.22.<br />

No detailed technology fabrication steps are proposed in this chapter. However, such a moving<br />

electrode can be rather simply processed in an above-IC integration plat<strong>for</strong>m. A met<strong>all</strong>ic moving<br />

electrode deposited over a sacrificial layer is certainly the most efficient process that can be<br />

considered. The moving electrode is anchored by hinges to the wafer. Many types of design are<br />

possible. In the sketch of Figure 2.22, two fix electrodes are used <strong>for</strong> the actuation. A voltage<br />

difference applied between fix and moving electrodes results in an attractive electrostatic <strong>for</strong>ce. This<br />

mechanism tunes the variable gap t gap between the SET island and the electrode.<br />

Moving<br />

electrode<br />

D<br />

BOX<br />

Si<br />

SiNW<br />

S<br />

Figure 2.22: (Left) Tri-dimensional SET-NEMS geometry on a SOI wafer. A moving MEMS-like<br />

electrode is defined over the <strong>silicon</strong> nanowire structure and acts as a second tunable <strong>gate</strong>. (Right)<br />

Cross-section cut showing the double-<strong>gate</strong> SiNW electrostatic control.<br />

The moving electrode architecture was developed and modelled initi<strong>all</strong>y <strong>for</strong> the integration of<br />

suspended-<strong>gate</strong> MOSFET (SG-MOSFET), as explained in [Pot01]. SG-MOSFET advanced<br />

modelling - based on design of experiment - is reported in [Ion02]. A relatively high movable<br />

electrode surface (>100μm 2 ), a sm<strong>all</strong> air gap (


The SET-NEMS architecture 75<br />

The schematic presented in Figure 2.23 shows an equivalent electrical schematic of a SET-NEMS<br />

device. SET-NEMS is modelled as a double-<strong>gate</strong> SET. The moving electrode tunes the value of the<br />

<strong>gate</strong>-to-island C G2 capacitance. The plot in Figure 2.23 is a numerical simulation of the gap distance<br />

t gap and of the tunable capacitance C G2 as a function of V DC . The actuation bias V DC is the quasi-DC<br />

voltage between fix and moving electrodes. For the simulation of the Figure 2.23, we consider an<br />

initial air gap of 100nm. This corresponds to the equilibrium condition. Details are in [Mah03].<br />

From the plot of Figure 2.23, we observe that the tunable NEMS capacitor acts as a two-state<br />

capacitive switch with a pull-in voltage V PI =0.6V. The pull-in voltage corresponds to the applied<br />

voltage at which the movable electrode snaps down. The resulting C G2 capacitance behaves as a twostate<br />

variable capacitance.<br />

By coupling a NEMS tunable capacitor with the Monte Carlo simulator SIMON [Was97], we report<br />

simulated electrical characteristics of an <strong>hybrid</strong> SET-NEMS architecture. The simulation schematic<br />

is displayed in Figure 2.24. We used the values of C G2 =0aF (<strong>gate</strong> up) and C G2 =8aF (<strong>gate</strong> down)<br />

corresponding to the two mechanical states of the moving electrode. The second <strong>gate</strong> voltage V G2 is<br />

not coupled to the actuation voltage V DC . For this example, V G2 is connected to the ground. The two<br />

resulting I D -V G1 output characteristics, simulated at T=4.2K, are reported in the plot of Figure 2.24.<br />

I D<br />

V DS<br />

C TD<br />

C G2<br />

C G1<br />

V G1<br />

C TS<br />

V G2<br />

Figure 2.24: (Left) SIMON [Was97] equivalent circuit schematic of a SET-NEMS device. (Right)<br />

Low temperature I D -V G1 simulated characteristics <strong>for</strong> a <strong>gate</strong> up (C G2 =0) and <strong>for</strong> a <strong>gate</strong> down<br />

(C G2 =8aF). V G2 is connected to the ground and V DS =10mV.<br />

Applications of such an exceptional device are in its new functions. For example, the tunable second<br />

<strong>gate</strong> can be used to cancel random charge fluctuations that usu<strong>all</strong>y shift I D -V G characteristics in<br />

<strong>single</strong>-<strong>gate</strong> SET. This can also serve to build a dual oscillation period SET. The device period is<br />

either ΔV G1 =e/C G1 or ΔV G2 =e/C G2 , depending on the controlled <strong>gate</strong>. If properly buffered with<br />

MOS transistors, then tunable oscillating characteristics may also be used <strong>for</strong> applications as (i)<br />

threshold <strong>gate</strong>, (ii) high-density neural networks or (iii) arrays of analog-to-digital converters. Many<br />

low power applications are successfully addressed with SET-NEMS devices, even if a strong<br />

technological ef<strong>for</strong>t is needed. The large electrodes needed <strong>for</strong> actuation are currently a major issue.<br />

Further developments of SG-MOSFET and SET-NEMS architectures have been very recently<br />

proposed. A group from the Tokyo Institute of Technology has published a very valuable report<br />

[Pru07]. In this paper, a nanowire SET is combined with a movable electrode and show interesting<br />

results by combining orthodox theory and NEMS modelling.<br />

A joint collaboration between EPFL and Stan<strong>for</strong>d University 1 has also resulted in a remarkable work<br />

on SG-MOSFET devices [Tsa07]. Authors propose, <strong>for</strong> the first time, a <strong>hybrid</strong> numerical simulation<br />

approach combining ANSYS 2 and DESSIS 3 in a self-consistent finite element analysis. This<br />

compact modelling - including both micro-electro-mechanical and the solid-state domain in a <strong>single</strong><br />

simulation loop - is a highly ch<strong>all</strong>enging task.<br />

1. See: https://www.stan<strong>for</strong>d.edu/group/nano<strong>electron</strong>ics/index.htm<br />

2. See: http://www.ansys.com/<br />

3. See: http://www.synopsys.com/products/tcad/tcad.html<br />

Drain current, I D [nA]<br />

25<br />

20<br />

15<br />

10<br />

5<br />

0<br />

C G2 =0<br />

C G2 =8aF<br />

T=4.2K<br />

V =10mV<br />

DS<br />

C =C =2aF<br />

TD TS<br />

R =R =100kΩ<br />

TD TS<br />

C =4aF<br />

G1<br />

0 20 40 60 80 100<br />

Gate Voltage, V [mV]<br />

G1


76 CHAPTER 2: Silicon nanowire <strong>for</strong> MOS/SET combined functionality<br />

2.6 Summary<br />

2.6.1 Synopsis<br />

We proposed the following in this chapter:<br />

• We clearly demonstrate how a <strong>silicon</strong> nanowire can serve as a combined structure <strong>for</strong><br />

both MOS and SET operating modes.<br />

• We also show that Si/SiO 2 is the major plat<strong>for</strong>m <strong>for</strong> the integration at nano-scale of<br />

<strong>single</strong> <strong>electron</strong> devices.<br />

• Among many technologies reported in the literature, the PADOX process is currently the<br />

most efficient way to create <strong>single</strong> <strong>electron</strong> islands in <strong>silicon</strong> <strong>nanowires</strong>.<br />

• It was observed a huge gap between the theory reported by the classical SET modeling<br />

described by Orthodox Theory and the different device architectures published, that does<br />

not fit with this model. This discrepancy is due to the fact, that the Orthodox Theory<br />

considers ideal islands (met<strong>all</strong>ic) and barriers (dielectric) and is not adapted <strong>for</strong><br />

semiconductor-based SET.<br />

• The physical origin of the SET island(s) and tunnel barriers is dependent from the<br />

integration technology used. It was proved that a continuous constricted <strong>silicon</strong><br />

nanowire, with a high strain level in its central part, can act as a barrier-island-barrier<br />

<strong>electron</strong>ic structure.<br />

• Per<strong>for</strong>mances of the reported works are compared. Our original contribution, based on a<br />

local-SOI architecture has some key advantages compared with state-of-the-art papers.<br />

We measured a 3 decades peak-to-v<strong>all</strong>ey SET current, we extracted 6-16aF island<br />

capacitance and we show a maximum SET operating temperature of 20K.<br />

• Finite element analysis of <strong>silicon</strong> <strong>nanowires</strong> is proposed. This emphasizes first the role of<br />

corners in <strong>silicon</strong> <strong>nanowires</strong>, and second this shows what is the real impact of energy<br />

quantization in nano-scale cross-sections structures.<br />

• Fin<strong>all</strong>y, the SET-NEMS architecture, origin<strong>all</strong>y proposed by EPFL in 2003, is reported<br />

and briefly analyzed. This device has the unique advantage to compensate the<br />

background charge effect that randomly shifts the I D -V G phase in <strong>all</strong> Coulomb Blockade<br />

devices.


Bibliography 77<br />

2.7 Bibliography<br />

[Alt03] T. Altebaeumer and H. Ahmed, ’’Tunnel barrier <strong>for</strong>mation in <strong>silicon</strong> <strong>nanowires</strong>’’, Japanese Journal<br />

of Applied Physics, vol. 40, pp. 414-417, 2003.<br />

[Aug00] R. Augke, W. Eberhardt, C. Single, F. E. Prins, D. A. Wharam and D. P. Kern, ’’Doped <strong>silicon</strong> <strong>single</strong><br />

<strong>electron</strong> transistors with <strong>single</strong> island characteristics’’, Applied Physics Letters, vol. 76 (15), pp.<br />

2065-2067, 2000.<br />

[Aus97] D. G. Austing, T. Honda and S. Tarucha, ’’Vertical <strong>single</strong> <strong>electron</strong> transistors with separate <strong>gate</strong>s’’,<br />

Japanese Journal of Applied Physics, vol. 36, pp. 4151-4155, 1997.<br />

[Boe03] F. Boeuf, X. Jehl, M. Sanquer and T. Skotnicki, ’’Controlled <strong>single</strong>-<strong>electron</strong> effects in<br />

nonoverlapped ultra-short <strong>silicon</strong> field effect transistors’’, IEEE Transactions on Nanotechnology,<br />

vol. 2 (3), pp. 144-148, 2006.<br />

[Col06] J.-P. Colinge, A. J. Quinn, L. Floyd, G. Redmond, J. C. Alderman, W. Xiong, C. R. Cleavelin, T.<br />

Schulz, K. Schruefer, G. Knoblinger and P. Patruno, ’’Low-temperature <strong>electron</strong> mobility in tri<strong>gate</strong><br />

SOI MOSFETs’’, IEEE Electron Device Letters, vol. 27 (2), pp. 120-122, 2006.<br />

[Con02] D. Connelly, Z. Yu and D. Yergeau, ’’Macroscopic simulation of quantum mechanical effects in 2-D<br />

MOS devices via the density gradient method’’, IEEE Transactions on Electron Devices, vol. 49 (4),<br />

pp. 619-626, 2002.<br />

[Cui00] Y. Cui, X. Duan, J. Hu and C. M. Lieber, ’’Doping and electrical transport in <strong>silicon</strong> <strong>nanowires</strong>’’,<br />

Journal of Physical Chemistry B, vol. 104 (22), pp. 5213-5216, 2000.<br />

[Eva01] G. J. Evans, H. Mizuta and H. Ahmed, ’’Modelling of structural and threshold voltage characteristics<br />

of randomly doped <strong>silicon</strong> <strong>nanowires</strong> in the Coulomb-blockade regime’’, Japanese Journal of<br />

Applied Physics, vol. 40, pp. 5837-5840, 2001.<br />

[Hof05] M. Hofheinz, X. Jehl, M. Sanquer, G. Molas, M. Vinet and S. Deleonibus, ’’Detection of individual<br />

traps in <strong>silicon</strong> nanowire transistors’’, Proceedings of ESSDERC, pp. 225-228, Grenoble FR, 2005.<br />

[Hor01] S. Horiguchi, M. Nagase, K. Shiraishi, H. Kageshima, Y. Takahashi and K. Murase, ’’Mechanism of<br />

potential profile <strong>for</strong>mation in <strong>silicon</strong> <strong>single</strong>-<strong>electron</strong> transistors fabricated using pattern-dependent<br />

oxidation’’, Japanese Journal of Applied Physics, vol. 40, pp. 29-32, 2002.<br />

[Hu04] S.-F. Hu, Y.-C. Wu, C.-L. Sung, C.-Y. Chang and T.-Y. Huang, ’’A dual-<strong>gate</strong>-controlled <strong>single</strong><strong>electron</strong><br />

transistor using self-aligned poly<strong>silicon</strong> sidew<strong>all</strong> spacer <strong>gate</strong>s on <strong>silicon</strong>-on-insulator<br />

nanowire’’, IEEE Transactions on Nanotechnology, vol. 3 (1), pp. 93-97, 2004.<br />

[Ind07] K. M. Indlekofer, J. Knoch and J. Appenzeller, ’’Understanding Coulomb effects in nanoscale<br />

Schottky-Barrier-FETs’’, Transactions on Electron Devices, vol. 54 (6), 2007.<br />

[Ion02] A. M. Ionescu, V. Pott, R. Fritschi, K. Banerjee, M. Declercq, P. Renaud, C. Hibert, P. Fluckiger and<br />

G. A. Racine, ’’Modeling and design of a low-voltage SOI suspended-<strong>gate</strong> MOSFET (SG-<br />

MOSFET) with a metal-over-<strong>gate</strong> architecture’’, Proceedings of ISQED, pp. 496-501, San Jose CA,<br />

2002.<br />

[Ish97] H. Ishikuro and T. Hiramoto, ’’Quantum mechanical effects in the <strong>silicon</strong> quantum dot in a <strong>single</strong><strong>electron</strong><br />

transistor’’, Applied Physics Letters, vol. 71 (25), pp. 3691-3693, 1997.<br />

[Jeh03] X. Jehl, M. Sanquer, G. Bertrand, G. Guégan, S. Deleonibus and D. Fraboulet, ’’Silicon <strong>single</strong><br />

<strong>electron</strong> transistors with SOI and MOSFET structures: the role of access resistances’’, IEEE<br />

Transactions on Nanotechnology, vol. 2 (4), pp. 308-313, 2003.<br />

[Kim01] D. H. Kim, S.-K. Sung, K. R. Kim, B. H. Choi, S. W. Hwang, D. Ahn, J. D. Lee and B.-G. Park, ’’Si<br />

<strong>single</strong>-<strong>electron</strong> transistors with sidew<strong>all</strong> depletion <strong>gate</strong>s and their application to dynamic <strong>single</strong><strong>electron</strong><br />

transistor logic’’, Technical Digest of IEDM, pp. 151-154, Washington DC, 2001.<br />

[Lee06] W. Lee, P. Su, H.-Y. Chen, C.-Y. Chang, K.-W. Su, S. Liu and F.-L. Yang, ’’An assessment of <strong>single</strong><strong>electron</strong><br />

effects in multiple-<strong>gate</strong> SOI MOSFETs with 1.6-nm <strong>gate</strong> oxide in near room temperature’’,<br />

IEEE Electron Device Letters, vol. 27 (3), pp. 182-184, 2006.<br />

[Mah03] S. Mahapatra, V. Pott, S. Ecoffey, A. Schmid, C. Wasshuber, J. W. Tringe, Y. Leblebici, M. Declercq,<br />

K. Banerjee and A. M. Ionescu, ’’SETMOS: A novel true <strong>hybrid</strong> SET-CMOS high current Coulomb<br />

Blockade oscillation cell <strong>for</strong> future nano-scale analog ICs’’, Technical Digest of IEDM, pp. 29.7.1-<br />

29.7.4, Washington DC, 2003.<br />

[Mat97] K. Matsumoto, ’’STM/AFM nano-oxidation process to room-temperature-operated <strong>single</strong>-<strong>electron</strong><br />

transistor and other devices’’, Proceedings of the IEEE, vol. 85 (4), pp. 612-628, 1997.


78 CHAPTER 2: Silicon nanowire <strong>for</strong> MOS/SET combined functionality<br />

[Mil96] A. A. Mills, S. Day and S. Parkes, ’’Mechanics of the sandglass’’, European Journal of Physics, vol.<br />

17, pp. 97-109, 1996.<br />

[Mon03] S. Monfray, A. Souifi, F. Boeuf, C. Ortolland, A. Poncet, L. Militaru, D. Chanemougame and T.<br />

Skotnicki, ’’Coulomb-blockade in nanometric Si-film <strong>silicon</strong>-on-nothing (SON) MOSFETs’’, IEEE<br />

Transactions on Nanotechnology, vol. 2 (4), pp. 295-300, 2003.<br />

[Mor01] N. Y. Morgan, D. Abusch-Magder, M. A. Kastner, Y. Takahashi, H. Tamura and K. Murase,<br />

’’Evidence <strong>for</strong> activated conduction in a <strong>single</strong> <strong>electron</strong> transistor’’, Journal of Applied Physics, vol.<br />

89 (1), pp. 410-419, 2001.<br />

[Nam03] H. Namatsu, Y. Watanabe, K. Yamazaki, T. Yamaguchi, M. Nagase, Y. Ono, A. Fujiwara and S.<br />

Horiguchi, ’’Fabrication of Si <strong>single</strong>-<strong>electron</strong> transistors with precise dimensions by <strong>electron</strong>-beam<br />

nanolithography’’, Journal of Vacuum Science and Technology B, vol. 21 (1), pp. 1-5, 2003.<br />

[Nis04] K. Nishiguchi, H. Inokawa, Y. Ono, A. Fujiwara and Y. Takahashi, ’’Automatic control of oscillation<br />

phase of a <strong>single</strong>-<strong>electron</strong> transistor’’, IEEE Electron Device Letters, vol. 25 (1), pp. 31-33, 2004.<br />

[Pot01] V. Pott, A. M. Ionescu, R. Fritschi, C. Hibert, P. Fluckiger, M. Declercq, P. Renaud, A. Rusu, D.<br />

Dobrescu and L. Dobrescu, ’’The suspended-<strong>gate</strong> MOSFET (SG-MOSFET): a modeling outlook <strong>for</strong><br />

the design of RF MEMS switches and tunable capacitors’’, CAS Conference, vol. 1, pp. 137-140,<br />

Sinaia RO, 2001.<br />

[Pot06] V. Pott, D. Bouvet, J. Boucart, L. Tschuor, K. E. Moselund and A. M. Ionescu, ’’Low temperature<br />

<strong>single</strong> <strong>electron</strong> characteristics in <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> MOSFETs’’, Proceedings of ESSDERC, pp. 427-<br />

430, Montreux CH, 2006.<br />

[Pru07] B. Pruvost, H. Mizuta and S. Oda, ’’3-D design and analysis of functional NEMS-<strong>gate</strong> MOSFETs<br />

and SETs’’, IEEE Transactions on Nanotechnology, vol. 6 (2), pp. 218-224, 2007.<br />

[Sai01] M. Saitoh, N. Takahashi, H. Ishikuro and T. Hiramoto, ’’Large <strong>electron</strong> addition energy above<br />

250meV in a <strong>silicon</strong> quantum dot in a <strong>single</strong>-<strong>electron</strong> transistor’’, Japanese Journal of Applied<br />

Physics, vol. 40, pp. 2010-2012, 2001.<br />

[Shi00] K. Shiraishi, M. Nagase, S. Horiguchi, H. Kageshima, M. Uematsu, Y. Takahashi and K. Murase,<br />

’’Designing of <strong>silicon</strong> effective quantum dots by using the oxidation-induced strain: a theoretical<br />

approach’’, Physica E: Low-dimensional Systems and Nanostructures, vol. 7 (3-4), pp. 337-341,<br />

2000.<br />

[Sin06] N. Singh, F. Y. Lim, W. W. Fang, S. C. Rustagi, L. K. Bera, A. Agarwal, C. H. Tung, K. M. Hoe, S.<br />

R. Omampuliyur, D. Tripathi, A. O. Adeyeye, G. Q. Lo, N. Balasubramanian and D. L. Kwong,<br />

’’Ultra-narrow <strong>silicon</strong> nanowire <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> CMOS devices: Impact of diameter, channelorientation<br />

and low temperature on device per<strong>for</strong>mance’’, Technical Digest of IEDM, pp. 1-4, San<br />

Francisco CA, 2006.<br />

[Sta04] M. Stadele, R. J. Luyken, M. Roosz, M. Specht, W. Rosner, L. Dreeskomfeld, J. Hartwich, F.<br />

Hofmann, J. Kretz, E. Landgraf and L. Risch, ’’A comprehensive study of corner effects in tri-<strong>gate</strong><br />

transistors’’, Proceedings of ESSDERC, pp. 165-168, Leuven BE, 2004.<br />

[Sze02] S. M. Sze, ’’Semiconductor devices: Physics and Technology’’, John Wiley, New-York NY, 2002.<br />

[Tak96] Y. Takahashi, H. Namatsu, K. Kurihara, K. Iwadate, M. Nagase and K. Murase, ’’Size dependence<br />

of the characteristics of Si <strong>single</strong>-<strong>electron</strong> transistors on SIMOX substrates’’, IEEE Transactions on<br />

Electron Devices, vol. 43 (8), pp. 1213-1217, 1996.<br />

[Tak02] Y. Takahashi, Y. Ono, A. Fujiwara and H. Inokawa, ’’Silicon <strong>single</strong>-<strong>electron</strong> devices’’, Journal of<br />

Physics: Condensed Matter, vol. 14 (39), pp. 995-1033, 2002.<br />

[Til01] A. Tilke, R. H. Blick, H. Lorenz and J. P. Kotthaus, ’’Single-<strong>electron</strong> tunneling in highly doped<br />

<strong>silicon</strong> <strong>nanowires</strong> in a dual-<strong>gate</strong> configuration’’, Journal of Applied Physics, vol. 89 (12), pp. 8159-<br />

8162, 2001.<br />

[Til03] A. Tilke, F. C. Simmel, H. Lorenz, R. H. Blick and J. P. Kotthaus, ’’Quantum interference in a onedimensional<br />

<strong>silicon</strong> nanowire’’, Physical Review B, vol. 68, pp. 075311 1-6, 2003.<br />

[Tsa07] D. Tsamados, Y. S. Chauhan, C. Eggimann, K. Akarvardar, H.-S. P. Wong and A. M. Ionescu,<br />

’’Numerical and analytical simulations of suspended Gate - FET <strong>for</strong> ultra-low power inverters’’,<br />

Proceedings of ESSDERC, pp. 167-170, Munich DE, 2007.<br />

[Was97] C. Wasshuber, H. Kosina and S. Selberherr, ’’SIMON: a simulator <strong>for</strong> <strong>single</strong>-<strong>electron</strong> tunnel devices<br />

and circuits’’, IEEE Transactions on Computer-Aided design of Integrated Circuits and Systems,<br />

vol. 16, pp. 937-944, 1997.<br />

[Was05] R. Waser (Editor), ’’Nano<strong>electron</strong>ics and in<strong>for</strong>mation technology’’, Wiley-VCH Verlag, Weinheim<br />

DE, 2005.


Chapter 3<br />

Hybrid SET/MOS technology<br />

This third chapter describes in details <strong>all</strong> the original techniques developed <strong>for</strong> the realization of<br />

<strong>silicon</strong> <strong>nanowires</strong>. Each section includes ideas, process flow, design and devices observation. The<br />

integration was per<strong>for</strong>med at the EPFL Center of Micro-Nanotechnology (CMI) on 4’’ wafers,<br />

excepted <strong>for</strong> some specific steps, where collaborations with academic and industrial partners was<br />

organized.<br />

This chapter starts with a brief introduction on the role of lithographic tools to obtain nano-scale<br />

devices. Other sections are on the successive process developed during this work. Four original<br />

techniques have been considered and analyzed. The first one is the Lateral Pattern Techniques<br />

(LPD), the second is on Focused Ion Beam (FIB) direct prototyping. Basic characterization of<br />

obtained devices are shown, even if none of these two first technologies have proved enough<br />

reliability to deserve future investigations.<br />

Then, a local-SOI and a pure SOI top-down <strong>silicon</strong> wire technology were developed and successfully<br />

integrated. These two technologies, which represent the technological core of this thesis, have been<br />

reported in various Conferences and Journals.<br />

The complete device characterization is proposed in the Chapter 4. Fin<strong>all</strong>y, this chapter is concluded<br />

with an essential discussion on the scalability of the local-SOI and SOI technologies.


80 CHAPTER 3: Hybrid SET/MOS technology<br />

3.1 The sm<strong>all</strong>er, the better<br />

The key point of this chapter is the extremely sm<strong>all</strong> resolution that must be achieved in <strong>silicon</strong><br />

nanowire technology. The planar resolution is given preliminary by the lithography, and second by<br />

any process tricks (etching, oxidation) that helps to reduce planar dimensions.<br />

The lithography is the technological definition of 2D patterns on the surface of the wafer. In CMOS<br />

industry, the lithography is now a subject of big concern. Resolution improvement <strong>for</strong> high speed,<br />

high reliability and low cost lithography is a true ch<strong>all</strong>enge. The ITRS reports a roadmap <strong>for</strong><br />

lithography [Lit06]. CMOS industry is mainly using projection (stepper) optical lithography, with<br />

manufacturable solutions known down to 60nm. For further technology nodes (45nm and below), no<br />

solutions are known, even if these sm<strong>all</strong>er nodes are supposed to be introduced in production in<br />

2008. Solutions as extreme UV, projection lithography or imprint are currently developed.<br />

An interesting report was proposed at IEDM 2005 by B. Lin [Lin05]. The author presents<br />

unprecedented difficulties that lithography is facing now, as well as solutions to handle sm<strong>all</strong> CMOS<br />

nodes. The paper [Ito00], published in Nature, also highlights main ch<strong>all</strong>enges of optical lithography,<br />

and discussed both optical and non-optical high-resolution lithographic systems.<br />

For this thesis report, we worked with many different lithographic tools. However, we were<br />

dependent from EPFL facilities. The lithography is consuming a large percentage of the cleanroom<br />

process time, and any collaborations with external partners is not realistic <strong>for</strong> <strong>all</strong> levels of integration.<br />

A <strong>single</strong> <strong>electron</strong> island should be as sm<strong>all</strong> as a few nanometers. There is currently no systems that<br />

<strong>all</strong>ow a large scale integration of <strong>single</strong> <strong>electron</strong> devices. Figure 3.1 represents, at the same scale, <strong>all</strong><br />

lithographic systems we considered during this work. The main limitation is the EPFL<br />

photolithographic system 1 , with a weak resolution of 0.8μm only. This resolution is not good<br />

enough, compared with the target 2 . In terms of dimensions, the ratio between the EPFL<br />

photolithographic system and expected SET dimensions is more than 100.<br />

0.8μm<br />

The sm<strong>all</strong>est pattern<br />

achievable with EPFL<br />

photolithography:<br />

0.8μm x 0.8μm<br />

0.8μm<br />

330nm<br />

Typical<br />

stepper<br />

lithography<br />

pattern<br />

330nm<br />

Lateral pattern defined<br />

(LPD) lithography<br />

Figure 3.1: Different lithographic patterns plotted at the same scale. The largest square on the left<br />

shows the best achievable photolithography with EPFL system. A <strong>single</strong> <strong>electron</strong> island should be<br />

typic<strong>all</strong>y sm<strong>all</strong>er than 5nm in order to operate at a reasonable high temperature. In between, are<br />

shown different types of lithography we considered during this work.<br />

The highest resolution system is given by the <strong>electron</strong> beam (e-beam) lithography. Un<strong>for</strong>tunately,<br />

EPFL has currently no e-beam facilities 3 and we had no choice that to develop smart lithography to<br />

go beyond the resolutions shown in Figure 3.1.<br />

Excepted critical dimensions, other lithographic considerations have to be checked. This includes:<br />

writing speed and writing density, alignment to other levels, impact of topography and underlying<br />

layers, on-wafer reproducibility, chemistry and polarity of the resist and global cost per device.<br />

1. Heidelberg DWL200 Laser Writer. See: http://cmi.epfl.ch/photo/home_photo.html<br />

2. Defining SET with photolithographic tools is like asking Michelangelo to sculpt David with a pneumatic drill.<br />

3. A new EPFL e-beam system - Vistec EBPG5000 - is now available and in operation since September 2007.<br />

See: http://cmi.epfl.ch/nanotools/VistecEBPG5000/VistecEBPG5000_introduction.html<br />

0.8μm<br />

FIB E-beam SET island<br />

70nm 20nm 10nm


Lateral Pattern Definition (LPD) technique 81<br />

3.2 Lateral Pattern Definition (LPD) technique<br />

In this section, we describe a technique used <strong>for</strong> the definition of nano-scale <strong>silicon</strong> <strong>nanowires</strong>. This<br />

non-lithographic process is c<strong>all</strong>ed Lateral Pattern Definition (LPD). This consists of transferring<br />

lateral side-w<strong>all</strong>s into a planar hard mask, the planar dimension being defined by the side-w<strong>all</strong><br />

material thickness. This side-w<strong>all</strong> can be technologic<strong>all</strong>y done by an oxide growth or by any<br />

con<strong>for</strong>mal deposition. The principle of a LPD process are shown in Figure 3.2.<br />

Sacrificial<br />

layer<br />

Figure 3.2: Principle of the lateral pattern definition. Different materials, deposition and etch can be<br />

considered. The LPD layer thickness t LPD is transferred into a planar dimension.<br />

The unique advantage of this technique is the possibility to obtain nano dimensions in a planar<br />

dimension, without using any lithographic tool. However, this technique is rather difficult to control<br />

at nano-scale and the contacting of a LPD-defined nanowire is re<strong>all</strong>y ch<strong>all</strong>enging. Table 3.1 presents<br />

a summary of LPD key advantages and limitations.<br />

+<br />

+<br />

+<br />

+<br />

+<br />

+<br />

+<br />

+<br />

+<br />

LPD layer<br />

Substrate<br />

t LPD<br />

Anisotropic etch<br />

TABLE 3.1: ADVANTAGES AND LIMITATIONS SUMMARY OF A LPD PROCESS.<br />

Advantages Limitations<br />

Non-lithographic<br />

Ultra low-cost<br />

Fast and full wafer process<br />

Hard mask <strong>for</strong> line transfer<br />

Excellent yield and on-wafer<br />

reproducibility<br />

Scalability as good as e-beam lithography<br />

(below 10nm)<br />

Excellent <strong>for</strong> long nano-lines, or cross-bar<br />

circuits<br />

Double density process: one pattern results<br />

in two wires<br />

Double LPD process: one pattern results in<br />

four lines<br />

Three original LPD techniques have been developed <strong>for</strong> the fabrication of <strong>nanowires</strong> (See Sections<br />

3.2.1 to 3.2.3). They <strong>all</strong> have advantages or limitations, but un<strong>for</strong>tunately none of them has resulted<br />

in an efficient and stable process <strong>for</strong> devices integration. The limitations we have faced are<br />

essenti<strong>all</strong>y resulting from poor etch facilities (roughness, selectivity), and it was decided not to<br />

continue with this technology. However, we are convinced that the simplicity of this technique could<br />

have a very strong impact <strong>for</strong> the rapid fabrication of nanowire lattices and arrays.<br />

Concerning the literature, there are surprisingly only few papers on LPD techniques. Furthermore,<br />

there is no uni<strong>for</strong>mity in LPD reports. This process is named by many different ways, as lateral<br />

pattern definition, sidew<strong>all</strong> process, spacer defined patterning, edge transfer, nanowire lattices, etc.<br />

Key examples are presented in the next page.<br />

-<br />

-<br />

-<br />

-<br />

-<br />

-<br />

-<br />

-<br />

-<br />

-<br />

Sacrificial<br />

layer removal<br />

t LPD<br />

Pattern transfer<br />

t LPD<br />

Difficult to be integrated in a process flow<br />

Can be used once in a process, and usu<strong>all</strong>y<br />

at the beginning<br />

Particular layout needed<br />

Much more integration steps<br />

Excellent etch facilities needed (selectivity,<br />

anisotropy)<br />

Only lines can be defined (and not full nano<br />

geometries)<br />

Difficult to take contacts on wires<br />

The inverted contrast (nano-gap) is even<br />

more difficult<br />

Highly sensitive to material and etch<br />

roughness<br />

High temperature process (oxidation,<br />

LPCVD)


82 CHAPTER 3: Hybrid SET/MOS technology<br />

Integration processes exploiting LPD techniques have <strong>all</strong> been published recently. In terms of<br />

applications, the main driver is certainly the FinFET geometry (and particularly multi-finger fins) as<br />

explained in section 1.4.2. The need of advanced lithography <strong>for</strong> large area integration has pushed<br />

engineers to develop non-lithographic process.<br />

LPD-based FinFET devices are reported at the same time by the Inter-University Micro<strong>electron</strong>ics<br />

Center, Leuven, BE [Ani03], and by UC Berkeley [Cho02]-[Cho03]. The paper [Cho02] proposes<br />

SiGe as a sacrificial material and phospho-silicate glass (PSG) as LPD layer. P-type MOSFET<br />

characteristics of L G =30nm are shown. Further scaling and improvement are described in [Cho03].<br />

D S<br />

Figure 3.3: Four SOI fin structures defined by<br />

spacer deposition and transfer. Picture by<br />

[Deg07], published in 2007.<br />

The most recent application of LPD process <strong>for</strong><br />

FinFET integration is proposed by IMEC, under<br />

the reference [Deg07]. Authors obtain fin<br />

structures as sm<strong>all</strong> as 20nm in width, with a<br />

25nm pitch. Figure 3.3 is a SEM picture of 4<br />

SOI fins in par<strong>all</strong>el connected to two pads,<br />

acting as drain and source.<br />

The process flow developed is a double-LPD<br />

technique. First, LPD SiN spacers are defined<br />

<strong>all</strong>-<strong>around</strong> a SiGe structure. Then, the two<br />

resulting lines are used again as sacrificial<br />

material, with TEOS deposition as a second<br />

LPD material. Fins obtained are further partly<br />

oxidized to reduce their widths and to remove<br />

etch damage. Sm<strong>all</strong>est fins width are 13nm,<br />

with a uni<strong>for</strong>m distribution <strong>all</strong> over the wafer.<br />

The two next examples are not specific<strong>all</strong>y developed <strong>for</strong> FinFET applications:<br />

200nm<br />

SOI Fin<br />

50nm<br />

SiO 2 spacer<br />

Quartz<br />

substrate<br />

Figure 3.4: A LPD-defined 40nm wide SiO 2<br />

line on a quartz substrate. Picture by [Gra04].<br />

500nm<br />

Figure 3.5: Suspended platinum wires, 20nm in<br />

diameter. Picture by [Mel03].<br />

The Institute of Electron Technology, from<br />

Warsaw PO, has developed a strong activity on<br />

LPD techniques.<br />

In 2004, it was reported in [Gra04] a fabrication<br />

technique <strong>for</strong> the definition of nano-width lines<br />

on nano-imprint templates. Silicon-on-quartz<br />

patterns are oxidized to produce SiO 2 spacer<br />

line on a quartz template, as shown in Figure<br />

3.4. A scalability of 20nm in lines width has<br />

been demonstrated.<br />

In 2006, the same group has further developed<br />

this technology, inc. the use of polySi and<br />

mono-Si as sacrificial material [Zab06]. This<br />

latest report includes AFM measurement and<br />

stress calculation of oxide nano-fences.<br />

The fabrication technique proposed by Caltech<br />

is based on translating thin film growth<br />

thickness into planar wire arrays [Mel03].<br />

GaAs/AlGaAs superlattices are grown on a<br />

template, then AlGaAs is selectively etched on<br />

the sides. A metal layer is deposited on the<br />

master template and the wire lattice is stamped<br />

on a second wafer (imprinting).<br />

The minimum nanowire diameter measured is<br />

8nm, with a 16nm wire pitch, as seen in Figure<br />

3.5. Easy processing and template multiple-use<br />

are specific advantages of this method.


Lateral Pattern Definition (LPD) technique 83<br />

3.2.1 Poly<strong>silicon</strong> oxidation LPD process<br />

The first LPD process we tested is based on the oxidation of a sacrificial poly<strong>silicon</strong> layer.<br />

This process is presented in Figure 3.6, showing the <strong>for</strong>mation of a basic SOI nanowire connected<br />

between two larger pads. The thicknesses of the layers are not shown, this will be discussed later.<br />

The process flow presented in Figure 3.6 does not include <strong>all</strong> steps <strong>for</strong> the fabrication of a <strong>silicon</strong><br />

nanowire. For example, the thickness of the SOI layer should be first thinned down in the wire part.<br />

Moreover, the <strong>gate</strong> stack process (GAA) has also to be carefully predicted.<br />

SOI<br />

BOX<br />

(a) (b)<br />

Silicon<br />

substrate<br />

PolySi<br />

Figure 3.6: Poly<strong>silicon</strong> oxidation LPD process flow on a SOI wafer. (a) LPCVD SiN and PolySi<br />

deposition. (b) Photolithography and PolySi etch. (c) PolySi oxidation. (d) Anisotropic SiO 2 etch,<br />

spacer <strong>for</strong>mation. (e) PolySi release by a dry isotropic etch. (f) Anisotropic nitride etch. (g)<br />

Photolithography. (h) Nano-line partial etch, resist removal. (i) Pads photolithography. (j) SOI<br />

anisotropic etch, resist and hard mask removal, partial BOX etch (depending on the hard mask<br />

removal chemistry).<br />

SiN<br />

(c) (d)<br />

PolySi<br />

oxidation<br />

(e)<br />

PolySi release<br />

(g)<br />

Photolithography<br />

SiO 2<br />

SiO etch: 2<br />

spacer <strong>for</strong>mation<br />

(f)<br />

(h)<br />

(i) Photoresist<br />

(j)<br />

Photolithography<br />

Photoresist<br />

Anisotropic<br />

SiN etch<br />

PolySi<br />

SiO 2 + SiN release<br />

PolySi pattern etch<br />

SiO 2 spacers<br />

Nano-line hard<br />

mask<br />

BOX partly<br />

etched<br />

SOI etch<br />

Resist + hard mask release


84 CHAPTER 3: Hybrid SET/MOS technology<br />

We tested different poly<strong>silicon</strong> oxidation LPD process on bulk <strong>silicon</strong> wafers (and not on SOI, in<br />

order to save wafers). We used a fluorine based plasma reactor 1 to etch the different layers. Process<br />

parameters, corresponding to Figure 3.6, are the following:<br />

• Silicon nitride thickness: 150nm<br />

• Poly<strong>silicon</strong> thickness: 250nm<br />

• LPD oxide growth: 70nm<br />

• Poly<strong>silicon</strong> etch: Anisotropic SF 6 +C 4 F 8 plasma Figure 3.6 (b)<br />

• Oxide etch: Anisotropic C 2 F 6 plasma Figure 3.6 (d)<br />

• Poly<strong>silicon</strong> release: Isotropic SF 6 plasma Figure 3.6 (e)<br />

• Nitride etch: Anisotropic C 2 F 6 plasma Figure 3.6 (f)<br />

• Oxide + nitride release: Wet etch (HF 49%) Figure 3.6 (h)<br />

• Silicon etch: Anisotropic SF 6 +C 4 F 8 plasma Figure 3.6 (j)<br />

• Oxide + nitride final release: Wet etch (HF 49%) Figure 3.6 (j)<br />

SiN<br />

70nm<br />

200nm<br />

Si w<strong>all</strong> 200nm<br />

Si bulk<br />

(a) (b) (c)<br />

Figure 3.7: Poly<strong>silicon</strong> LPD test structure. (a) Nano-w<strong>all</strong> etched, with SiN as hard mask. (b) Hard<br />

mask released. (c) Top view of a released nano-w<strong>all</strong>.<br />

We deduced from this test that the LPD process we proposed is functional, but the choice of oxidized<br />

poly<strong>silicon</strong> as spacer is not optimal due to poly<strong>silicon</strong> roughness. The oxidation is done at a<br />

temperature ranging from T=950°C to T=1000°C under a dry O 2 atmosphere. This type of oxidation<br />

is highly controllable, but leads to unavoidable spacers roughness.<br />

However, we also observed that the oxide growth dimension is transferred into a lateral dimension<br />

with a pretty good control of dimensions and with an excellent on-wafer reproducibility.<br />

In spite of this LPD proof of concept was successful, we decided nevertheless to stop poly<strong>silicon</strong><br />

oxidation and either to explore <strong>silicon</strong> oxidation, because of the excessive roughness.<br />

3.2.2 Silicon oxidation LPD process<br />

Si w<strong>all</strong><br />

The <strong>silicon</strong> oxidation LPD process we developed in reported in [Pot05]. The very simple process is<br />

described in Figure 3.8. The process steps are fully simulated using 2D TCAD tools 2 .<br />

SiO2 10nm Photoresist SiO2 70nm<br />

1μm<br />

Silicon<br />

Anisotropic dry etch<br />

Silicon etch<br />

Figure 3.8: The <strong>silicon</strong> oxidation LPD process.(a) 10nm oxide growth and photolithography. (b)<br />

Silicon anisotropic etch (~250nm) and 70nm oxide growth. (c) Oxide anisotropic dry etch. (d)<br />

Silicon anisotropic dry etch. (e) Oxide wet etch (BHF) removal.<br />

1. Alcatel 601E plasma reactor. See: http://cmi.epfl.ch/<br />

2. Synopsys ISE-TCAD, Dios tool, release 10.0. See: http://www.synopsys.com/products/tcad/tcad.html<br />

200nm<br />

Oxide release<br />

Silicon<br />

nano-w<strong>all</strong><br />

(a) (b) (c) (d) (e)<br />

70nm


Lateral Pattern Definition (LPD) technique 85<br />

Results of the <strong>silicon</strong> oxidation LPD test are proposed in Figure 3.9.<br />

(a)<br />

SiO 2<br />

Figure 3.9: SEM pictures of the <strong>silicon</strong> oxidation LPD process. (a) Top-view SEM picture<br />

corresponding to the Figure 3.8c. (b) Tilted-view SEM picture of a release <strong>silicon</strong> nano-w<strong>all</strong>,<br />

corresponding to the Figure 3.8e.<br />

We observed by SEM imaging that the width of the nano-w<strong>all</strong> after processing corresponds to the<br />

oxide layer growth. In the test we made, the width is 70nm. In comparison with poly<strong>silicon</strong> oxidation<br />

LPD patterns (Figure 3.7), the sides roughness has been considerably reduced.<br />

However, this test is only a first step <strong>for</strong> the integration of <strong>silicon</strong> nanowire devices. The nano-w<strong>all</strong><br />

process needs (i) to be contacted to S/D pads (ii) to have its size reduced and (iii) to be electric<strong>all</strong>y<br />

disconnected from the bulk wafer.<br />

An oxide growth under O 2 atmosphere at T=1050°C was simulated and then per<strong>for</strong>med on a test<br />

wafer. Then, this wafer was cleaved and we observed the oxidized nano-w<strong>all</strong> corresponding to the<br />

Figure 3.10. As calibrated by process simulation, we see a <strong>silicon</strong> nanowire embedded in oxide. The<br />

wire has a quasi-circular shape, with about 15nm as diameter. This result is extremely interesting and<br />

was obtained with a 0.8μm resolution photolithography.<br />

65nm SiO 2<br />

200nm<br />

Figure 3.10: SEM picture of an oxidized nano-w<strong>all</strong> defined by <strong>silicon</strong> oxidation LPD process. An<br />

insulated <strong>silicon</strong> nano-wire in the top part of the wire is observed.<br />

Simulation of the oxidation process<br />

Si<br />

Si<br />

Si substrate<br />

200nm<br />

Si nano-w<strong>all</strong><br />

Prior to oxidize the wafer, we made a careful 2D process simulation. We used a non-linear viscoelastic<br />

model of oxide growth. This rigorous modeling of oxidation includes chemical reactions at<br />

interfaces, thermal expansion, stress-dependent and crystal orientation dependent oxidation.<br />

The visco-elastic modelling of <strong>silicon</strong> oxidation is now considered as the most precise approach,<br />

separating the oxide growth into a deviatoric part (viscous) and a dilational part (elastic). Details of<br />

finite elements computation is beyond the scope of this work, and this can <strong>for</strong> example be found in<br />

the detailed report proposed by Garikipati and Rao in [Gar01]. The Synopsys 1 help file is also<br />

describing the visco-elastic algorithm implemented.<br />

1. Synopsys ISE-TCAD, Dios tool, release 10.0. See: http://www.synopsys.com/products/tcad/tcad.html<br />

(b)<br />

Oxidized wire<br />

Insulated Si wire<br />

Diameter: ~15nm


86 CHAPTER 3: Hybrid SET/MOS technology<br />

A Deal-Grove model of oxide growth is used.<br />

In order to take into account visco-elastic effects, the reaction rate k and the diffusivity D of oxygen<br />

in SiO 2 are affected by mechanical quantities the following:<br />

σn ⋅ VK<br />

k( σn, T)<br />

= k ⎛<br />

0 min⎛1, exp⎛-----------------<br />

⎞⎞⎞<br />

⎝ ⎝ ⎝ kBT ⎠⎠⎠<br />

p ⋅ VD<br />

D( σkk, T)<br />

= D ⎛<br />

0 min⎛1, exp⎛–<br />

--------------- ⎞⎞⎞<br />

⎝ ⎝ ⎝ kBT ⎠⎠⎠<br />

In Equation (3.1), k 0 is the stress free reaction rate, σ n the normal stress acting onto the Si/SiO 2<br />

interface (σ n >0 means tensile stress and σ n


Lateral Pattern Definition (LPD) technique 87<br />

The <strong>silicon</strong> oxidation LPD S/D pads integration<br />

The next step in the <strong>silicon</strong> oxidation LPD process consists in contacting the <strong>silicon</strong> nanowire<br />

between two pads in a true 3D device geometry. We developed and published [Pot05] the following<br />

process flow. This is presented on a SOI wafer, but this can also be considered <strong>for</strong> bulk <strong>silicon</strong> wafer<br />

if proper wire oxidation is per<strong>for</strong>med to disconnect it from the bulk.<br />

(a) Gap (


88 CHAPTER 3: Hybrid SET/MOS technology<br />

200nm Si pad 200nm 200nm<br />

Si SiO 2<br />

Figure 3.13: 3D integration of the <strong>silicon</strong> oxidation LPD process on bulk <strong>silicon</strong>. (a) Structure with<br />

SiO 2 hard mask, corresponding to Figure 3.12e. (b) Device with oxide release and parasitic gap. (c)<br />

Functional wire, showing however a reduced wire width at S/D connections.<br />

The full wafer device integration remains problematic. Furthermore, the observed <strong>silicon</strong> roughness<br />

is weak.<br />

The parasitic gaps between S/D and the wire in Figure 3.13b are resulting from a fuzzy effect that<br />

was understood only by process simulation. Again, this proves how LPD process integration can be<br />

tricky.<br />

Figure 3.14: The origin of parasitic gap in <strong>silicon</strong> oxidation LPD process. On the same cross section<br />

is shown what should be a correct process (on the left) and the wrong one (on the right).<br />

At that point, it seems that the scalability of this process <strong>for</strong> device integration is limited, mainly due<br />

to 3D un-controlled integration effects and unsatisfactory etch results (surface roughness, etching<br />

notch, only fast etch rate available, no HBr plasma).<br />

Again, we decided not to put a maximum of ef<strong>for</strong>t in this process and to focus on other integration<br />

process flows.<br />

3.2.3 Nitride LPD <strong>nanowires</strong><br />

The last LPD process we checked is different from poly<strong>silicon</strong> and <strong>silicon</strong> oxidation. As the 3D<br />

integration seems difficult, we found a process where a suspended LPD-defined nitride nanowire is<br />

integrated and used as hard mask. This process has a reduced number of integration steps.<br />

The fabrication principle is presented in Figure 3.15.<br />

D S D S<br />

Si<br />

(a) (b) (c)<br />

Anisotropic<br />

Anisotropic<br />

+ Isotropic<br />

SiO2 etch<br />

SiO2 (a)<br />

etch Anisotropic Si etch<br />

(b) (c)<br />

LPD oxide growth<br />

A better<br />

solution<br />

SiO2<br />

what we did<br />

Si substrate<br />

(d) LPD oxide anisotropic etch<br />

(e)<br />

Silicon anisotropic etch<br />

(f)<br />

Hard mask release<br />

OK Parasitic gaps


Lateral Pattern Definition (LPD) technique 89<br />

Silicon<br />

SiO 2<br />

Anisotropic etch<br />

LPCVD SiN SiO2 release<br />

Figure 3.15: The suspended nitride LPD process. An LPCVD low-stress <strong>silicon</strong> nitride (SiN) is<br />

deposited and further anisotropic<strong>all</strong>y etched in a dry etch plasma reactor. The nitride sidew<strong>all</strong> is<br />

released in a BHF bath, resulting in a suspended nitride nanowire.<br />

The SEM pictures obtained with this process are shown in Figure 3.16.<br />

(a) (b)<br />

SiO 2<br />

SiN Suspended<br />

SiN wire<br />

1μm 1μm<br />

(c) Si<br />

(d)<br />

Suspended<br />

SiN wires<br />

1μm<br />

SiO 2<br />

Suspended<br />

SiN wire<br />

1μm<br />

Etched<br />

Si line<br />

SiO 2<br />

Figure 3.16: SEM pictures of nitride <strong>nanowires</strong> obtained by LPD integration. (a+b) Tilted view of<br />

partly released nitride <strong>nanowires</strong>. The anchor is a grown oxide layer. (c) Top view SEM image of<br />

twin SiN <strong>nanowires</strong> anchored on two oxide lines. The nitride wires width is about 70nm. (d) Etched<br />

<strong>silicon</strong> line after anisotropic <strong>silicon</strong> dry etch.<br />

This process was done in a very short time. The negative result is the dreadful etch transfer when<br />

using suspended nitride nanowire as hard mask. However, this process is very easy and fast, and we<br />

proved a scalability down to 70nm.<br />

Many smart use of suspended wires can be considered. For example, we can coat such <strong>nanowires</strong><br />

with conductive material and obtain conductive nanotubes! We can also use such sm<strong>all</strong> structures in<br />

MEMS/NEMS integration.<br />

Si


90 CHAPTER 3: Hybrid SET/MOS technology<br />

3.3 Focused ion beam prototyping<br />

3.3.1 Introduction to focused ion beam<br />

A Focused Ion Beam (FIB) is a micro-machining tool used <strong>for</strong> analysis and devices rapid<br />

prototyping. The beam is generated from the ionization of a liquid-metal source (mainly g<strong>all</strong>ium<br />

ions), then ions are accelerated with a field emission tip and focused on the surface of the sample.<br />

Ions are accelerated at an energy ranging from 5 to 50keV.<br />

adsorbate<br />

e -<br />

Ga + beam<br />

atom<br />

target<br />

Figure 3.17: Ion-solid interaction.<br />

Typical applications of FIB processing include [Orl03]:<br />

• Cross-section <strong>for</strong> multiple layer devices study<br />

• TEM sample preparation<br />

• Local material deposition<br />

• Preferential removal of material<br />

• Semiconductor circuits editing or modifications and mask repair<br />

• Device prototyping<br />

For the prototyping of SET, we used the CMI-EPFL system 1 . Typical per<strong>for</strong>mances of such an<br />

equipment are [Fei07]:<br />

• Electron and ion column mounted at 52° to each other.<br />

• Electron beam voltage: 200V to 30kV, continuously adjustable.<br />

• Electron beam current < 20nA.<br />

• Electron beam resolution: 1.1nm @ 15kV, 2.5nm @ 1kV.<br />

• Electron detection: in-lens secondary <strong>electron</strong>s (SE) and back scattered <strong>electron</strong>s (BSE).<br />

• Ion beam voltage: 5kV to 30kV.<br />

• Maximum ion beam current: 20nA in 15steps.<br />

• Ion beam resolution: 7.0nm @ 30kV.<br />

• Minimum dwell time: 50ns/pixel.<br />

FIB limitations are essenti<strong>all</strong>y in its relative high cost, in a slow device-to-device fabrication and in<br />

the target contamination (in the case of a g<strong>all</strong>ium ion source).<br />

3.3.2 FIB prototyping examples<br />

A FIB machine is a very versatile tool and has<br />

different modes of operation, as suggested in<br />

Figure 3.17.<br />

Ion imaging, at low beam energy.<br />

Ion milling, at high beam energy.<br />

Ion implantation, at high beam energy.<br />

Gas assisted selective ion milling (XeF 2 , H 2 0).<br />

Gas assisted selective material deposition<br />

(TEOS, platinum).<br />

The FIB prototyping of nano-scale devices is a precise way to obtain structures with sub-100nm<br />

dimensions, without masks, resist and etching.<br />

As a first example, the work proposed in 2005 by [Wu05] is a smart use of FIB direct milling <strong>for</strong> the<br />

fabrication of <strong>silicon</strong> island arrays. Authors study the effect of beam spot distortion and broadening<br />

on the milled structure.<br />

1. FEI Nova 600 Nanolab DualBeam.<br />

See: http://cmi.epfl.ch/nanotools/FEINova600Nanolab/FEINova600Nanolab_table_of_contents.html


Focused ion beam prototyping 91<br />

Single <strong>electron</strong> transistor fabrication using FIB direct technique has not been extensively reported<br />

yet. However, a considerable work [Kum06] was published in 2005 by a research group from<br />

Michigan Technological University (MTU).<br />

TJ<br />

Ni island<br />

G<br />

D S<br />

Al 2 O 3<br />

Figure 3.18: FIB micro-milling of a <strong>single</strong><br />

<strong>electron</strong> transistor, proposed by [Kum06], with a<br />

zoom on the 50nm diameter SET island.<br />

At EPFL, we have investi<strong>gate</strong>d two techniques of SET fabrication with FIB etching: SOI direct<br />

milling and Resist milling and pattern transfer.<br />

3.3.3 SOI direct milling<br />

TJ<br />

In the MTU device shown in Figure 3.18, FIB<br />

milling is used to cut a thin Ni layer in a 3terminal<br />

mono-island SET configuration with a<br />

lateral <strong>gate</strong>. About 6 minutes of FIB milling per<br />

device are needed. A 20nm thick Al 2 O 3 layer<br />

was used as tunnel dielectric material and the<br />

conducting island diameter reported is as large<br />

as 50nm.<br />

However, room temperature measurements are<br />

not demonstrating I D -V G CB oscillating<br />

characteristics. Further experiments, as well as<br />

island size reduction, seem mandatory to<br />

improve device per<strong>for</strong>mances. Nevertheless, the<br />

use of Ni combined with Al 2 O 3 has the<br />

advantage not to be sensitive to g<strong>all</strong>ium<br />

contamination, compared to Si devices.<br />

The original EPFL process technique presented in this section has been reported in [Pot06b].<br />

Aluminum-<strong>gate</strong>d <strong>silicon</strong> wires with 8nm diameter and 50-200nm length are demonstrated. The two<br />

configurations (doping levels) are (i) phosphorus highly-doped <strong>silicon</strong> wires or (ii) un-doped wires<br />

built in a pseudo-MOS configuration.<br />

The Figure 3.19 is a three-dimensional device schematic.<br />

50nm<br />

SOI<br />

Drain<br />

100nm<br />

FIB milling<br />

Aluminum<br />

front <strong>gate</strong><br />

Source<br />

Thinned<br />

SOI<br />

SOI<br />

Si substrate<br />

Buried oxide<br />

Figure 3.19: Device sketch of a FIB-prototyped nanowire. The key part of this process is the direct<br />

milling of thin SOI to <strong>for</strong>m the conductive wire. The SEM picture inset is a view of a 100nm long<br />

and 50nm wide cut channel.


92 CHAPTER 3: Hybrid SET/MOS technology<br />

Highly doped <strong>silicon</strong> wire process flow<br />

The objective of this process is to connect a SOI wire between two SOI electrodes, acting as drain<br />

and source. We used 4’’ SOI wafers with a boron low-doped (10 13 cm -3 ) and 340nm thick SOI layer.<br />

The buried oxide is 400nm. In the central part of the device, the SOI thickness is reduced down to a<br />

30nm thickness by successive oxidation and SiO 2 etch (See “Appendix A: PBL and SOI oxidation”<br />

on page 141.) Then source, drain and a wide channel are defined by basic photolithography and<br />

preliminary SOI etch (see Figure 3.19). The structure is ready <strong>for</strong> FIB cut, which will reduce the<br />

channel width as sm<strong>all</strong> as 30nm. A low 10pA ionic current is used to assure a high resolution.<br />

We per<strong>for</strong>med then HCl decontamination to eliminate surface traces of g<strong>all</strong>ium ions [Sak99]. As<br />

explained in further development, the role of Ga+ ions is critical. The wire is then oxidized in order<br />

to (i) decrease its size from 30nm to a 12nm diameter channel and (ii) to grow a 38nm <strong>all</strong> <strong>around</strong> <strong>gate</strong><br />

oxide. This oxidation was per<strong>for</strong>med into a dry O 2 atmosphere at T=900°C.<br />

A phosphorus implantation is then applied to the SOI layer through the <strong>gate</strong> oxide. A doping<br />

concentration in the order of 3x10 20 cm -3 in SOI is achieved in order to make the <strong>silicon</strong> wire highly<br />

conductive. This implantation is followed by an 880°C annealing step carried out into a slightly<br />

oxidant atmosphere in order to reduce the ionized impurities level in the <strong>gate</strong> oxide (Figure 3.21).<br />

The final <strong>silicon</strong> thickness, which corresponds to wire diameter, is decreased from 12nm to 8nm.<br />

This operation has to be well controlled and may result in some wires full oxidation (essenti<strong>all</strong>y due<br />

to FIB process variation).<br />

Last process steps correspond to contact opening and met<strong>all</strong>ization. A 800nm thick sputtered AlSi1%<br />

layer is used <strong>for</strong> drain and source contacts as well as <strong>for</strong> the <strong>gate</strong>. This is followed by<br />

photolithography, metal etch and post-met<strong>all</strong>ization anneal (PMA) at T=425°C under a combined N 2<br />

+ H 2 atmosphere. This is mandatory to have ohmic Al/Si contacts.<br />

SOI 8nm<br />

D<br />

Thin<br />

wire<br />

S<br />

SOI<br />

FIB<br />

cut<br />

Al 800nm<br />

BOX 400nm<br />

SOI<br />

BOX<br />

1μm BOX 4μm Handle Si<br />

(a) (b) (c)<br />

Figure 3.20: (a) SEM picture of a 2μm long x 200nm wide FIB cut SOI nanowire. (b) SEM picture<br />

of a <strong>gate</strong>d <strong>silicon</strong> nanowire with 800nm deposited aluminum. (c) FIB cross-section of the <strong>gate</strong> stack<br />

corresponding to picture (b).<br />

Total phosphorus concentration [cm -3 ]<br />

10 21<br />

10 20<br />

10 19<br />

38nm<br />

Gate<br />

oxide<br />

Segregation<br />

effect<br />

Figure 3.21: Simulation of the total phosphorus concentration after implantation and oxidizing<br />

annealing. The active doping level in the final SOI active layer is 3x10 20 cm -3 while a segregation<br />

effect in the <strong>gate</strong> oxide is observed. This helps reducing <strong>gate</strong> oxide leakage.<br />

Al<br />

8nm<br />

SOI BOX<br />

Dimension [nm]


Focused ion beam prototyping 93<br />

The g<strong>all</strong>ium contamination problem<br />

We highly suspect Ga + ions to have a predominant role in the transport characteristics in Si<br />

<strong>nanowires</strong>. The use of FIB as a micro<strong>electron</strong>ic-compatible tool is discussed <strong>for</strong> many years. Almost<br />

<strong>all</strong> IC industries does not <strong>all</strong>ow FIB processed wafers to return to the production line.<br />

Different tests can be considered to highlight the impact and quantity of g<strong>all</strong>ium dopants in SOI<br />

channels. For example SIMS (Secondary Ion Mass Spectrometry) is used to measure dopant profile<br />

in a layer. This technique is based on ionic etch of the layer and mass spectrometric analysis of<br />

sputtered material. However, SIMS is a costly technique and gives no in<strong>for</strong>mation on g<strong>all</strong>ium role in<br />

the <strong>electron</strong>ic transport.<br />

We made the following test to emphasize the role of g<strong>all</strong>ium atoms in <strong>silicon</strong>. First we cut some SOI<br />

samples by FIB milling. After SEM imaging, these structure look perfect (see Figure 3.20a). The<br />

only difference observed is a <strong>silicon</strong> contrast difference in cut zones and in non-cut zones (cut zones<br />

are brighter, i.e. more conductive). Then we oxidize the structure (<strong>gate</strong> oxide growth), implant with<br />

phosphorus and anneal the structures, exactly as described in the process flow. By SEM imaging,<br />

structures always look perfect.<br />

Fin<strong>all</strong>y, we release the grown oxide in a BHF bath during a short time. We were expecting to see<br />

suspended <strong>nanowires</strong> cross-connected between two pads, but we had an un<strong>for</strong>eseeable result, as<br />

displayed in Figure 3.22.<br />

The FIB design used in this test is a double channel connection. An anomalous roughness was only<br />

observed in the surrounding of FIB processed devices.<br />

1μm<br />

Channels<br />

etched<br />

FIB etching<br />

BOX<br />

SEM picture showing<br />

anomalous roughness<br />

SOI<br />

D S<br />

Figure 3.22: SEM picture of a double channel FIB cut (left) and the expected design (center). SOI<br />

channels are completely removed and an uncontrolled <strong>silicon</strong> roughness appears. A reference non<br />

FIB-cut structure on the same wafer shows a perfectly clean and normal device (right).<br />

This test clearly demonstrates that Ga + ions are changing the <strong>silicon</strong> structure in a not-controllable<br />

way. It seems that a <strong>silicon</strong> amorphous layer is created due to Ga + implantation and that g<strong>all</strong>ium<br />

decontamination with HCl is not efficient enough. During oxidation, Ga + ions seem also to<br />

considerably increase the oxide growth rate, resulting in devices over-oxidation. However, there are<br />

surprisingly only few documents in the literature dealing with this problematic.<br />

In order to avoid Ga + contaminations, some authors have pushed a lot of ef<strong>for</strong>t in the development of<br />

Si ions source. A nice investigation is reported by Bischoff and al. [Bis04]. The use of Au 82 Si 18<br />

eutectic source <strong>for</strong> Si ions production is proposed, with a reasonably low melting temperature of<br />

365°C. The surface tension coefficient with temperature of this <strong>all</strong>oy <strong>all</strong>ow a specific ionic emission.<br />

The Si ions are subsequently mass separated from the beam. Further investigations are however<br />

required in terms of ions spectrometry, ionization and low temperature emission.<br />

A literature review and results comparison are proposed in Table 3.2. The three publications<br />

considered are <strong>all</strong> discussing the g<strong>all</strong>ium/<strong>silicon</strong> interaction. All authors estimate that an<br />

amorphization layer is obtained due to FIB machining. At low dose, the g<strong>all</strong>ium is implanted in<br />

<strong>silicon</strong>, while at higher dose a combined effect of sputtering and implantation appears. It was also<br />

observed by [Leh00] that Ga + contaminants are projected and redeposited up to 400μm from the<br />

beam/wafer impact point! However, these reports are not giving any in<strong>for</strong>mation on Ga + ions effect<br />

during <strong>silicon</strong> oxidation.<br />

FIB<br />

Expected<br />

design<br />

I DS<br />

1μm<br />

SOI<br />

BOX<br />

No FIB cut<br />

(reference)


94 CHAPTER 3: Hybrid SET/MOS technology<br />

TABLE 3.2: DEFECT AND GALLIUM CONTAMINATION DUE TO FIB MACHINING.<br />

Reference [Ste92] [Leh00] [Xia06]<br />

Year 1992 2000 2006<br />

Beam source Vertical Ga + beam Vertical Ga + beam Vertical Ga + beam<br />

Dose >10 15 cm -2 (medium) 10 14 cm -2 (low) various<br />

Energy 30keV 30keV 10 to 30keV<br />

Ionic beam current 12pA max: 7nA -<br />

Max. implant ~10 21 cm -3 ~5x10 19 cm -3 -<br />

Max. implant depth<br />

(vertical)<br />

Amorphization depth -<br />

3.3.4 Resist milling and pattern transfer<br />

20 to 30nm ~26nm 10 to 100nm<br />

~50nm<br />

(by TEM analysis)<br />

10 to 100nm and<br />

surface defects<br />

Test structure used p-n junction SIMS analysis Schottky contacts<br />

Remarks<br />

Ga-implanted <strong>silicon</strong><br />

is not etched by KOH<br />

Low dose <strong>for</strong> SIM.<br />

Implant depends on<br />

Si-crystal orientation<br />

Ga + ions produce<br />

acceptors like defects<br />

in <strong>silicon</strong><br />

The second experiment we made in order to avoid g<strong>all</strong>ium ions cross-contamination consists in using<br />

an anti-diffusion resist layer, to cut the resist by FIB and to transfer the structures in oxide or in<br />

<strong>silicon</strong> by reactive ion etching.<br />

Be<strong>for</strong>e starting a full process, we made a simple test, as displayed in Figure 3.23. The idea was to<br />

collect <strong>all</strong> Ga + ions in the resist layer and to transfer the pattern into <strong>silicon</strong> through a sacrificial<br />

oxide layer. The process flow is the following:<br />

• SOI test wafer<br />

• 200nm deposited SiO 2 as hard mask<br />

• Pattern photolithography and etch: step corresp. to schematic in Figure 3.23 (right)<br />

• 450nm positive resist spin coating 1<br />

• About 450nm depth FIB milling (10pA ionic current): step corresp. to Figure 3.23 (left)<br />

• 200nm anisotropic reactive ion etching (fluorine based plasma)<br />

• Resist removal in O 2 plasma (P=1000W, t=60min.): step corresp. to Figure 3.23 (center)<br />

• Piranha cleaning (H 2 SO 4 96% + H 2 O 2 30%) at T=100°C<br />

1μm Resist<br />

Si<br />

SiO2 SOI<br />

BOX<br />

Resist SiO2 SEM picture:<br />

FIB-cut structures<br />

SEM picture:<br />

resist released<br />

Handle Si<br />

Test structure<br />

Figure 3.23: Resist cut FIB test. The SEM picture on the left shows rectangle apertures directly cut<br />

in the resist. The central SEM picture represents the same test structure after pattern transfer, oxygen<br />

plasma and piranha cleaning. The cross-section on the left depicts the layer stack used <strong>for</strong> this test.<br />

1. Shipley Microposit S1805<br />

1μm Parasitic SiO2 layer<br />

FIB FIB


Focused ion beam prototyping 95<br />

We observe in Figure 3.23 that a thin parasitic layer is <strong>for</strong>med after resist removal. This is difficult to<br />

know whether this layer is originated by the resist or by the oxide layer. However, these sm<strong>all</strong> sheets<br />

are neither removed by O 2 plasma nor by H 2 SO 4 cleaning bath. Our hypothesis is that Ga + ions are<br />

later<strong>all</strong>y implanted in the resist/SiO 2 after FIB processing and cannot be released afterwards.<br />

The two approaches used (SOI direct milling and Resist milling and pattern transfer) have many<br />

drawbacks:<br />

• A not-acceptable <strong>silicon</strong> Ga + contamination<br />

• Fuzzy effect during Ga + contaminated <strong>silicon</strong> oxidation, generating roughness<br />

• Resist inter-layer cut seems doable but requires more investigation<br />

• Ultra slow device per device processing<br />

• A relatively high cost of process<br />

We took the decision to stop FIB investigations.<br />

3.3.5 Non FIB-cut devices measurement<br />

Measurements presented in this section are non FIB-cut large (2x2μm 2 ) SOI devices. Despite the<br />

large size of the active area, it could be of high interest to probe thin and highly doped devices.<br />

As the Coulomb Blockade is highly dependent from temperature, cryogenic characterization is<br />

needed. We used a closed cycle liquid helium cryostat refrigerator 1 , based on the Gif<strong>for</strong>d-McMahon<br />

thermodynamic cycle. This <strong>all</strong>ows measurement from T=4.2°C up to T=400°C with a very good<br />

precision (ΔT


96 CHAPTER 3: Hybrid SET/MOS technology<br />

The impact of temperature on the I D -V G characteristics presented in Figure 3.25 is showing a net<br />

decrease of current in the ON-regime (accumulation). This is explained by the freezing out of donor<br />

ions. At T=300K, the I ON /I OFF ratio is 5 decades, reduced to 2 decades at T=40K and to a fully wire<br />

blockade at T=8K.<br />

We observe pA-range oscillating characteristics at T


Gate-<strong>all</strong>-<strong>around</strong> <strong>nanowires</strong> on bulk <strong>silicon</strong> 97<br />

3.4 Gate-<strong>all</strong>-<strong>around</strong> <strong>nanowires</strong> on bulk <strong>silicon</strong><br />

Gate-<strong>all</strong>-<strong>around</strong> (GAA) <strong>silicon</strong> <strong>nanowires</strong> (NW) are considered as an attractive structure <strong>for</strong> ultrascaled<br />

<strong>silicon</strong> devices. Many designs have been proposed and fabricated. Furthermore, <strong>silicon</strong>-based<br />

<strong>nanowires</strong> operated as <strong>single</strong> <strong>electron</strong> transistors seem to be now the most promising structure <strong>for</strong><br />

Coulomb blockade (CB) devices and related integrated circuit applications (see Section 2.2 - The<br />

cryst<strong>all</strong>ine <strong>silicon</strong> SET: geometry and architecture). GAA-SET enable also the co-integration with<br />

CMOS devices <strong>for</strong> low power circuit applications.<br />

We propose in this section an original integration process to fabricate <strong>silicon</strong> wires on a bulk <strong>silicon</strong><br />

wafer, with a poly<strong>silicon</strong> GAA geometry, providing so an excellent electrostatic control of the<br />

channel. Demonstration of regular SET characteristics in sm<strong>all</strong> wires at low temperature and<br />

MOSFET characteristics in large wires are reported, discussed and analyzed in Chapter 4. A 3Dschematic<br />

of a <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> transistor is shown in Figure 3.27.<br />

Figure 3.27: (a) Layout of a GAA-MOSFET with a cut through the channel. (b) SEM cross-section<br />

view of a triangular GAA-MOSFET with dimensions. (c) Schematic 3D view of a GAA-MOSFET.<br />

An LPCVD oxide layer (LTO) is deposited and serves as local buried oxide.<br />

The original technology presented in this section was presented at ESSDERC 2006 [Pot06a] and<br />

recently published in IEEE Transactions on Nanotechnology in 2007 [Mos07a].<br />

Very similar results have been recently published by the National University of Singapore, under the<br />

reference [Sin06]. Authors from Singapore have however access to higher resolution lithography 1 .<br />

3.4.1 Device fabrication and morphology<br />

G<br />

S<br />

D<br />

SiO 2<br />

polySi<br />

(a) (b)<br />

The fabrication presented in this section is a generic process <strong>for</strong> GAA devices. We have tested<br />

different variants, mainly in terms of layer thickness, <strong>gate</strong> oxide growth and etching recipes.<br />

The n-type GAA transistors are realized on a low doped (boron 1x10 15 cm -3 ) bulk <strong>silicon</strong><br />

wafer. The left-side column of Figure 3.28 shows a lateral source-drain cross-section of the<br />

fabrication steps and the right one presents a channel cross-section view of the same process.<br />

The process starts with the growth of 25nm of oxide and the deposition of 100nm of LPCVD nitride.<br />

Then, source, drain and channel dimensions are defined by optical lithography 2 . Nitride, oxide and<br />

<strong>silicon</strong> substrate are etched by anisotropic etch in a fluorine-based plasma reactor 3 . Then, 35nm<br />

nitride spacers are LPCVD deposited to protect the lateral side-w<strong>all</strong> of the wire from the isotropic<br />

etch of the <strong>silicon</strong>, which is the key step of the proposed processing. The tight control of isotropic<br />

etching in SF 6 plasma (etch rate: 250nm/min) enables the control of the channel dimensions.<br />

1. To have excellent competitors is a demonstration not to be on a tot<strong>all</strong>y wrong way! (author’s note)<br />

2. Heidelberg DWL200 direct writing laser. See: http://cmi.epfl.ch/photo/home_photo.html<br />

3. Alcatel 601E system. See: http://cmi.epfl.ch/etch/601E.html<br />

(c)<br />

188nm<br />

Si<br />

n+ Si n+ Si<br />

IDS Source Drain<br />

poly<strong>silicon</strong><br />

122nm<br />

LTO<br />

p-Si


98 CHAPTER 3: Hybrid SET/MOS technology<br />

Nitride<br />

p-<strong>silicon</strong><br />

SiO 2<br />

Air gap<br />

Source Drain<br />

B<br />

G<br />

A A’<br />

S D<br />

B’<br />

Isotropic<br />

dry etch<br />

Spacers<br />

<strong>silicon</strong><br />

Nitride<br />

<strong>silicon</strong><br />

Lithographic<br />

dimension:<br />

L>800nm<br />

10μm<br />

200nm<br />

<strong>silicon</strong><br />

AA’ cross-section BB’ cross-section<br />

Figure 3.28: The layout of a GAA SiNW transistor is represented on the top. Two AA’ and BB’<br />

cross-sections show the first steps of the integration process. The AA’ SEM picture is a tilted-view of<br />

a 10μm <strong>silicon</strong> wire after nitride and SiO2 removal. The BB’ SEM picture highlights how the wire is<br />

etched during the isotropic plasma process. In this picture, the wire is still connected to the bulk.<br />

After the isotropic etch, a wet oxide (400nm) is grown to define the final dimension of the <strong>silicon</strong><br />

wire and to reduce residual side roughness. The wet oxide and the remaining nitride are removed and<br />

replaced by a low temperature oxide (LTO) be<strong>for</strong>e the planarization (CMP) step. The planarization<br />

step is essential to prepare the wire release while keeping a significant oxide thickness on the<br />

substrate. Wire release is per<strong>for</strong>med in a BHF bath.<br />

A 10 to 20nm <strong>gate</strong> oxide is then grown at T=880 to 950°C, respectively. Oxidation is followed by a<br />

deposition of a 500nm LPCVD poly<strong>silicon</strong> layer. The poly<strong>silicon</strong> is patterned and etched in an<br />

isotropic SF 6 plasma. The GAA transistor source, drain and <strong>gate</strong> are then self-aligned and implanted<br />

with a phosphorous dose of 3x10 15 cm -2 at 50keV, with a standard 7° beam tilt.<br />

Source Wire Drain<br />

<strong>silicon</strong><br />

LTO<br />

Phosphorous implantation<br />

Poly<strong>silicon</strong><br />

Sour S Drai D<br />

ce<br />

<strong>silicon</strong><br />

n<br />

S<br />

D<br />

PolySi<br />

2μm<br />

LTO<br />

<strong>silicon</strong><br />

<strong>silicon</strong> wire<br />

Phosphorous implantation<br />

AA’ cross section BB’ cross section<br />

Figure 3.29: Process showing the <strong>gate</strong> stack integration. SEM images correspond to the <strong>gate</strong> level.<br />

AA’ and BB’ cross-section are defined in Figure 3.28.<br />

LTO<br />

<strong>silicon</strong><br />

SiO 2<br />

PolySi<br />

Si wire<br />

Poly<strong>silicon</strong><br />

500nm


Gate-<strong>all</strong>-<strong>around</strong> <strong>nanowires</strong> on bulk <strong>silicon</strong> 99<br />

After implant, activation is done at 950°C during 15 minutes under pure N 2 atmosphere. This is not<br />

only essential <strong>for</strong> S/D overlap regions beneath the <strong>gate</strong>, but also to ensure a uni<strong>for</strong>mly dopant<br />

diffusion <strong>all</strong> <strong>around</strong> the channel. Dopant diffusion reduces also the electrical channel length.<br />

A 500nm LTO passivation layer is deposited and contacts are opened by an anisotropic etch plasma<br />

followed by a BHF bath. Metal pads are done with 800nm sputtered AlSi1% and are partly etched in<br />

a chlorine-based plasma reactor 1 , resulting in vertical sidew<strong>all</strong>s. The final AlSi etch is done in a wet<br />

ANP bath. ANP 2 is a solution of acetic, nitric and phosphoric acid and is used because of its<br />

selectivity with the underneath LTO layer.<br />

The last step is a post met<strong>all</strong>ization annealing (PMA) of AlSi contacts. This is per<strong>for</strong>med at T=425°C<br />

under a 95% N 2 and 5% H 2 atmosphere. PMA serves both to improve the metal/<strong>silicon</strong> contact<br />

resistance and to reduce Si/SiO 2 interface dandling bonds by hydrogen passivation 3 . The final<br />

captions of a GAA transistor are depicted in Figure 3.30.<br />

AlSi 1%<br />

p-<strong>silicon</strong><br />

G<br />

S LT D<br />

Polysilic LTO<br />

O<br />

n PolySi<br />

on n<br />

n+<br />

n+<br />

+<br />

+<br />

Figure 3.30: Gate-<strong>all</strong>-<strong>around</strong> SiNW transistor at the final step of processing.<br />

Cross-sections orientations are defined in Figure 3.28.<br />

The proposed technology offers some clear advantages:<br />

LT<br />

LTO<br />

O<br />

p-<strong>silicon</strong><br />

• Substrate is a low-cost bulk wafer, with a perfect doping level control.<br />

• The channel size and shape is controlled by isotropic etch and sacrificial oxidation.<br />

• Source and drain contacts are excellent (purely ohmic).<br />

• Top-down approach, using only fast and low-cost optical lithography.<br />

• The wire is a local-SOI with a GAA geometry <strong>for</strong> an optimal channel potential control.<br />

• Defect-free Si/SiO 2 interface.<br />

• Excellent yield.<br />

Different SEM pictures taken during the GAA process are proposed in Figure 3.31.<br />

(a)<br />

S<br />

<strong>silicon</strong><br />

(b)<br />

S<br />

<strong>silicon</strong><br />

2μm<br />

10μm<br />

AA’ cross section BB’ cross section<br />

(c)<br />

Figure 3.31: (a & b) Tilted-views of fully released <strong>silicon</strong> <strong>nanowires</strong> with different dimensions.<br />

(c) A fully contacted 5μm long <strong>silicon</strong> nanowire transistor.<br />

1. STS Multiplex ICP reactor. See: http://cmi.epfl.ch/etch/STS.html<br />

2. CH 3 COOH 100% - HNO 3 70% - H 3 PO 4 85% (ratio 5:3:75)<br />

3. Centrotherm tube. http://cmi.epfl.ch/thinfilms/Tube_1-3.htm<br />

D<br />

D<br />

Al<br />

AlSi 1%<br />

Poly<strong>silicon</strong><br />

LTO<br />

Gate<br />

Polysilic<br />

Poly<strong>silicon</strong><br />

on<br />

5μm<br />

Si Si<br />

Al


100 CHAPTER 3: Hybrid SET/MOS technology<br />

3.4.2 TEM observation and cross-section shape<br />

Transmission Electron Microscopy 1 (TEM) was done at the EPFL Interdisciplinary Centre <strong>for</strong><br />

Electron Microscopy 2 . The first device observed is a transversal cross-section of a 10μm long <strong>silicon</strong><br />

nanowire. This sample was cut with a FIB DualBeam 3 , extracted with a transfer tip and thinned<br />

down to a thickness of about 50nm. Sample fabrication details are presented in Figure 3.32.<br />

Platinum<br />

deposition<br />

Lamella<br />

partial<br />

release<br />

Lamella<br />

soldering<br />

with Pt<br />

Transfer<br />

and<br />

soldering to<br />

a metal<br />

support<br />

(a) (b)<br />

Al<br />

5μm<br />

(c) (d)<br />

(e) (f)<br />

(g) (h)<br />

Figure 3.32: SEM pictures representing the main steps of a TEM lamella preparation. Various tilts,<br />

zooms and SEM detectors are used during this preparation.<br />

1. Philips CM 300 FEG<br />

2. See: http://cime.epfl.ch/<br />

3. See Section 3.3.1<br />

Al<br />

Pt<br />

PolySi<br />

FIB cut<br />

Extraction<br />

tip<br />

Lamella<br />

extraction<br />

Lamella<br />

final<br />

thinning by<br />

FIB cut


Gate-<strong>all</strong>-<strong>around</strong> <strong>nanowires</strong> on bulk <strong>silicon</strong> 101<br />

We observed the cross-section presented in Figure 3.33. This special device had an extra sacrificial<br />

oxidation step during the process. The cross-section obtained is quasi-circular.<br />

(a) (b)<br />

SiNW<br />

PolySi<br />

LTO<br />

SiO2 Figure 3.33: (a) TEM picture of a GAA nanowire MOSFET device, corresponding to Figure 3.32.<br />

(b) High resolution TEM image of the central circular nanowire with atomic resolution. The SiNW<br />

diameter is 5nm and <strong>gate</strong> oxide thickness is 10nm.<br />

The 5nm sample in Figure 3.33 is the sm<strong>all</strong>est cross-section size we have obtained with this process.<br />

This size seems the limit we can achieve with this technology. Indeed, very sm<strong>all</strong> and long wires are<br />

very fragile structures and can break during processing. Furthermore, sm<strong>all</strong>er wires are bent,<br />

probably due to stress accumulated during the process (See Raman measurements on page 118). An<br />

example of a sm<strong>all</strong> released wire is shown in Figure 3.31 (a). Details will be presented at IEDM 2007<br />

[Mos07b].<br />

Depending on design channel width, isotropic <strong>silicon</strong> etch and sacrificial oxidation, we also have<br />

experiment<strong>all</strong>y obtained large cross-sections with various shapes and dimensions. Three different<br />

FIB cross-sections are shown in Figure 3.34.<br />

PolySi<br />

120nm<br />

Si<br />

1μm 5nm<br />

PolySi<br />

540nm<br />

LTO LTO<br />

LTO<br />

Si<br />

(a) (b) (c)<br />

SiO 2<br />

PolySi<br />

480nm<br />

SiNW<br />

PolySi<br />

Figure 3.34: SEM pictures of FIB cut samples. The device (a) is a triangular <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> SiNW,<br />

the device (b) is a Ω-<strong>gate</strong> transistor and the device (c) is a pentagonal transistor.<br />

In the reported samples, the effective width denotes the perimeter of the cross section measured on a<br />

FIB cut of the device. The planar dimension is simply the dimension measured on top of the layout.<br />

We have measured devices having lengths ranging from 1 to 10μm (see Chapter 4). Furthermore,<br />

during the fabrication, the wire length gets slightly longer than its nominal layout dimension due to<br />

the combined effect of <strong>silicon</strong> isotropic etch and sacrificial oxidation at S/D sides.<br />

As can be seen in Figure 3.31, the width of the channel is not constant, but widens out at the source<br />

and drain sides. In order to evaluate how much of the total drain bias is dropped over the center<br />

region of the device, we can divide the device into three sections of differing width and length, two<br />

sections represent the ends of the device W S/D /L S/D , whereas a <strong>single</strong> section W wire /L wire<br />

characterizes the center region. Details are discussed in [Mos07a]. We estimate that the width<br />

variations are on the order of 10% <strong>for</strong> a L=10μm device.<br />

Si


102 CHAPTER 3: Hybrid SET/MOS technology<br />

3.4.3 The implant and anneal matter<br />

The proposed process is auto-aligned. This means that the poly<strong>silicon</strong> <strong>gate</strong> and the <strong>silicon</strong> source and<br />

drain areas are doped during the same implant and annealing steps. There are many auto-aligned<br />

options <strong>for</strong> the <strong>gate</strong> integration. A summary of main variants we considered during this work are in<br />

the flow chart, Figure 3.35.<br />

Un-doped LPCVD<br />

poly<strong>silicon</strong> deposition<br />

In-situ doped LPCVD<br />

poly<strong>silicon</strong> deposition<br />

Metal <strong>gate</strong><br />

deposition (Mo, W)<br />

Silicidation<br />

Poly etch<br />

Poly implant<br />

POCl 3 poly<br />

doping<br />

Poly implant<br />

Metal etch S/D implant S/D anneal<br />

Figure 3.35: Flow chart representing main <strong>gate</strong> and S/D integration variants. The one we choose is<br />

displayed in the second line (in italics). This is the cheapest and fastest option regarding to the CMI-<br />

EPFL available facilities.<br />

We encounter in our <strong>gate</strong> integration a drawback that needs a lot of care. The same implant is used<br />

<strong>for</strong> both <strong>gate</strong> doping and <strong>for</strong> <strong>silicon</strong> S/D contacts. However, dopants diffusion should be quite large<br />

in the poly<strong>silicon</strong> <strong>gate</strong> in order to give a constant doping level <strong>all</strong>-<strong>around</strong> the <strong>silicon</strong> wire. At the<br />

contrary, dopants diffusion should be limited at S/D sides, to control junctions depth and to avoid S/D<br />

junctions punch-through.<br />

The doping trade-off has been studied and calibrated by 2D TCAD process simulation 1 . The example<br />

we propose in Figure 3.36 is a pentagonal <strong>gate</strong> MOSFET device.<br />

In this example, a <strong>gate</strong> stack consisting of 10nm thermal oxide and 100nm poly<strong>silicon</strong> is created. The<br />

poly<strong>silicon</strong> <strong>gate</strong> is patterned and isotropic<strong>all</strong>y etched, and a self-aligned implantation step of <strong>gate</strong>,<br />

source and drain is carried out (Arsenic, 5x10 15 cm -2 , 40keV, Tilt=7°) using a 40nm thick<br />

implantation oxide, which is subsequently removed. Arsenic is used instead of phosphorous because<br />

of its lower diffusivity in <strong>silicon</strong>. The doping is activated at 950°C during 10min.<br />

Because of the 3D geometry of the device along with the low diffusivity of arsenic, a part of the <strong>gate</strong><br />

on the lower concave part of the sidew<strong>all</strong>s is low-doped, which may leads in an insufficient channel<br />

potential control. However, <strong>for</strong>eseen solutions are in the flow chart, in Figure 3.35.<br />

Low-doped<br />

region<br />

SiO 2<br />

p-<strong>silicon</strong><br />

PolySi<br />

480nm<br />

90nm<br />

Poly etch S/D implant<br />

Poly oxidation<br />

Figure 3.36: (Left) 2D finite element simulation, with doping level in poly<strong>silicon</strong>. (Left) FIB crosssection<br />

of a fabricated pentagonal <strong>gate</strong> device on which we modelled the simulation.<br />

1. DIOS Synopsys TCAD. See: http://www.synopsys.com/products/tcad/tcad.html<br />

S/D anneal<br />

Gate + S/D<br />

implant<br />

Poly etch Poly oxidation S/D implant S/D anneal<br />

Poly etch Poly oxidation S/D implant S/D anneal<br />

Poly etch Poly oxidation S/D implant S/D anneal<br />

Doping<br />

concentration [cm -3 ]<br />

480nm<br />

Gate + S/D<br />

anneal<br />

320nm


Gate-<strong>all</strong>-<strong>around</strong> SOI devices 103<br />

3.5 Gate-<strong>all</strong>-<strong>around</strong> SOI devices<br />

This section presents the last investi<strong>gate</strong>d technology <strong>for</strong> the fabrication of <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> <strong>silicon</strong><br />

<strong>nanowires</strong>. Contrary to the local-SOI process described in the Section 3.4, devices are integrated on<br />

SOI wafers. Short channel lengths with sm<strong>all</strong> wire cross-section are targeted. Furthermore, as<br />

explained in the Section 1.4, the SOI plat<strong>for</strong>m is also addressing problems like SCE and DIBL.<br />

However, the fabrication plat<strong>for</strong>m is still limited to EPFL-CMI facilities, and particularly to a coarse<br />

0.8μm lithographic resolution. The integration would be much better controlled and denser if a stateof-the-art<br />

industrial lithography (resolution 0.1μm or less) would have been used. The Table 3.3<br />

summarizes advantages and limitations of both bulk (local-SOI) and SOI devices.<br />

-<br />

-<br />

-<br />

-<br />

-<br />

+<br />

-<br />

-<br />

3.5.1 SOI wire <strong>for</strong>mation<br />

TABLE 3.3: SOI VS. BULK TECHNOLOGY.<br />

SOI Bulk (local-SOI)<br />

High cost of wafers<br />

SOI/BOX interface quality<br />

Some process difficulties (limited etching<br />

and oxidation, BOX conservation)<br />

Contacts pads (thin SOI)<br />

Rectangular cross-section shape of the<br />

channel only<br />

Perfect S/D isolation<br />

Process variability (dimensions)<br />

Sensitive to self-heating<br />

The SOI <strong>nanowires</strong> presented in this technology are fabricated using a top-down approach. The SOI<br />

layer is 100nm thick and p-type doped (boron, 10 15 cm -3 ). The buried oxide (BOX) is 400nm thick<br />

and the handle wafer is lightly p-type doped. Wire shapping is described in Figure 3.37.<br />

Figure 3.37: A schematic of the SOI wire <strong>for</strong>mation (channel cross-section). The pictures are<br />

generated by TCAD process simulation.<br />

+<br />

+<br />

+<br />

+<br />

+<br />

-<br />

+<br />

+<br />

Low cost of wafers<br />

No interfaces<br />

Process much less sensitive to technology<br />

variations<br />

Contacts pads (on the substrate)<br />

Many types of cross-section shapes and<br />

dimensions (triangular, Ω-<strong>gate</strong>,...)<br />

Current leakage to the substrate<br />

Better control of process variations<br />

No self-heating<br />

(a) 800nm (b) Oxidation: 40nm growth<br />

SOI:<br />

100nm<br />

BOX: 400nm<br />

LTO:<br />

400nm<br />

(c) (d) Si isotropic dry etching<br />

(e)<br />

Bulk-Si<br />

SiO2<br />

release<br />

Oxidation: 70nm growth<br />

(f)<br />

Photoresist<br />

SOI wire<br />

SiO2 release


104 CHAPTER 3: Hybrid SET/MOS technology<br />

At the beginning of the process, a 400nm low temperature oxide (LTO) is deposited and the first<br />

lithographic step is implemented. Then, anisotropic etch is used to define the initial SOI section, as<br />

shown in Figure 3.37(a).<br />

After resist removal, a dry oxidation of 40nm growth (T=1000°C under O 2 atmosphere) is applied to<br />

reduce sidew<strong>all</strong> roughness. Then the oxide sides are released in a BHF bath and SOI is underattacked<br />

in a SF 6 plasma reactor (see Figure 3.37, step d). This etching is highly critical, this<br />

determines the wire cross-section. Un<strong>for</strong>tunately, at sub-micron scale, the control of dimensions <strong>all</strong><br />

over the surface of the wafer is very poor and the generated roughness high.<br />

A second dry oxidation must be applied to reduce the roughness induced by the <strong>silicon</strong> plasma etch.<br />

In this step, a 70nm thick SiO 2 layer is grown at T=1050°C. The final step of the wire <strong>for</strong>mation<br />

process consists first to lithography define apertures in the central part of the device, and then to<br />

release the LTO and the sacrificial oxide in a BHF bath.<br />

The proposed wire <strong>for</strong>mation deserves the following comments:<br />

• Many process variations can be considered (various steps of under-etching and<br />

sacrificial oxidations).<br />

• The buried oxide is 400nm thick only. During the complete process, a lot of care was<br />

taken in order to preserve it below the wire, because a BOX refill with deposited oxide<br />

is difficult, due to the non-con<strong>for</strong>mal LTO deposition.<br />

It was not possible to keep the BOX in this process, due to successive BHF attacks. The<br />

BOX refilling technique is described in the next steps.<br />

• As a complete BOX removal was observed, it would be better in future SOI wire<br />

fabrication to use - <strong>for</strong> the SOI wire <strong>for</strong>mation - either sacrificial oxidation than <strong>silicon</strong><br />

under-etching (like in local-SOI wires, where wires roughness is almost negligible).<br />

• The height of the SOI wire is partly reduced during the fabrication.<br />

• S/D contacts have to be taken on a not too thin SOI region (T SOI >70nm, see [Oh94]).<br />

As the initial SOI thickness is 100nm, then we did not loc<strong>all</strong>y thinned down SOI in the<br />

channel region. The use of a thicker SOI layer may be considered if a correct local SOI<br />

thinning down is integrated in the process flow.<br />

Various SEM pictures of the SOI process are proposed in Figure 3.38.<br />

(a)<br />

BOX<br />

(b) Bulk<br />

(c)<br />

Drain<br />

pad<br />

Source<br />

pad<br />

Platinum<br />

SOI/SiO2 core<br />

Gate-<strong>all</strong>-<strong>around</strong> polySi<br />

2μm 1μm 300nm<br />

SOI wire<br />

SOI wire<br />

Bulk<br />

Figure 3.38: (a) A released SOI nanowire connected between two pads, after the wire <strong>for</strong>mation. (b)<br />

A zoom on the same wire. the BOX is completely released but the remaining wire roughness is rather<br />

high and dirt appears at the bulk surface. (c) A FIB cross section of a released 350x30nm SOI wire.<br />

As observed in Figure 3.38 (c), the bulk refilling with LTO did not succeed. Indeed, we observed<br />

voids below the wire, and the release of the wire <strong>for</strong> the <strong>gate</strong> stack <strong>for</strong>mation results in a complete<br />

release of the deposited oxide surrounding the wire. We decided to continue the process in spite of<br />

this difficulty. This lack of BOX will result in a large capacitance (surface: 3x6μm 2 ) between the <strong>gate</strong><br />

and the bulk, with T ox as a dielectric layer. Gated devices are proposed in Figure 3.41.<br />

A systematic control of dimensions is needed after wire processing and releasing. The wire crosssections<br />

obtained by this process are either rectangular. Dimensions are measured by SEM imaging,<br />

see Figure 3.39. This corresponds to the minimum planar width observed in the central part of the<br />

wire, where the cross-section is minimum.


Gate-<strong>all</strong>-<strong>around</strong> SOI devices 105<br />

Figure 3.39: SOI effective width measured by SEM imaging, as a function of the layout width. The<br />

linear trend is about W effective =W layout -0.9μm. This means that about 450nm of SOI is later<strong>all</strong>y<br />

released on each side of the wire.<br />

3.5.2 Gate stack <strong>for</strong>mation<br />

Next steps in the process, which are common <strong>for</strong> <strong>all</strong> device cross-section shapes and dimensions, are<br />

the <strong>gate</strong> stack integration (see Figure 3.40).<br />

First, the SiO 2 hard mask, as well as any remaining BOX, corresponding to Figure 3.37f, is released<br />

in a BHF mask. Then, a 2-4μm LTO is deposited, and a CMP step is carried out to planarize the<br />

surface. LTO is used as insulating layer between the substrate and the wire.<br />

A BHF etch is then carried out in order to release the <strong>silicon</strong> wire, while maintaining sufficient<br />

isolation beneath the wire to avoid having a parasitic substrate device. It was observed by SEM<br />

imaging that the void below the wire is not completely refilled. Whatever happens with the LTO, the<br />

<strong>gate</strong> oxide growth will definitely cancel any risk of short-circuit between the poly<strong>silicon</strong> <strong>gate</strong> and the<br />

substrate.<br />

SOI<br />

wire<br />

Handle <strong>silicon</strong><br />

Wire release<br />

in a BHF bath<br />

LTO<br />

Void<br />

LPCVD LTO<br />

+<br />

Planarization<br />

Wire partial<br />

release<br />

PolySi<br />

Gate oxide<br />

+<br />

poly<strong>silicon</strong><br />

Figure 3.40: Example of passivation and <strong>gate</strong> integration <strong>for</strong> a SOI device, emphasizing how a void<br />

is created below the wire and results in a capacitive coupling between the <strong>gate</strong> and the bulk.<br />

For the <strong>gate</strong> stack, a conservative 10nm thick SiO 2 is grown as <strong>gate</strong> dielectric, followed by a LPCVD<br />

poly<strong>silicon</strong> deposition with a thickness of 300nm. A thin poly<strong>silicon</strong> layer offers the advantages of a<br />

limited lateral poly<strong>silicon</strong> extension (essential in scaled-down devices) and a better <strong>gate</strong> doping<br />

control and uni<strong>for</strong>mity. Contrary to LTO deposition, poly<strong>silicon</strong> deposition is perfectly con<strong>for</strong>mal.<br />

The <strong>gate</strong> is patterned and etched in an isotropic SF 6 plasma, which is selective to oxide, so that the<br />

<strong>gate</strong> oxide acts as an etch-stop layer <strong>for</strong> the <strong>silicon</strong> wire. This helps also to reduce the effective<br />

channel etch by under-etching. An oxide of 20nm is then grown to protect the surface during<br />

implantation.<br />

SiO2


106 CHAPTER 3: Hybrid SET/MOS technology<br />

Source, drain and <strong>gate</strong> are then doped by a self-aligned arsenic implantation step (Dose=2x10 15 cm -2 ,<br />

Energy=35keV, no tilt, simulated junction depth in <strong>silicon</strong> x j =112nm). Then, a rapid thermal<br />

annealing (RTA) activation step is carried out at 950°C during 45s under Ar atmosphere 1 .<br />

The combination of arsenic and RTA annealing is used to limit as much as possible dopants<br />

diffusion, and to avoid S/D junction short-circuit. However, as explained in the Section 3.4.3, the<br />

auto-aligned process should also dope the poly<strong>silicon</strong> <strong>gate</strong>. This will inevitably result in partly undoped<br />

<strong>gate</strong>. SEM pictures of <strong>gate</strong>d devices (W/L=1.5μm/2μm) are proposed in Figure 3.41. The<br />

effect of poly<strong>silicon</strong> under-etching is significant <strong>for</strong> the device (c).<br />

After the annealing, a 500nm thick isolation oxide (LTO) is deposited, contact holes are opened by a<br />

combination of dry and wet etching and an 800nm thick AlSi1% is sputtered. The met<strong>all</strong>ization level<br />

is patterned and etched in an ANP bath (CH 3 COOH 100%: HNO 3 70%: H 3 PO 4 85% [5:3:75]). Wet<br />

etching is preferable due to the 3D geometry. In the end, an annealing step at 425°C in an N 2 /H 2<br />

atmosphere is carried out in order to obtain high quality ohmic contacts.<br />

Electrical DC measurements of SOI transistors are proposed in Section 4.4 on page 131.<br />

(a) (b)<br />

BOX<br />

Drain Source<br />

2μm PolySi<br />

1μm<br />

Poly<br />

Drain Source<br />

Si<br />

Figure 3.41: SEM pictures of a <strong>gate</strong>d SOI transistor (a) with zoom on the channel (b). A short<br />

channel device obtained by poly<strong>silicon</strong> under-etching (c).<br />

3.5.3 Rapid thermal annealing qualification<br />

Many tests structures have been included in the design. This is beyond the scope of this thesis to<br />

describe <strong>all</strong> tests (lithography resolution and alignment, etching, etc.).<br />

However, it was necessary to probe the activation of SOI and poly<strong>silicon</strong> layers after RTA. For this<br />

purpose, simple resistors have been added in the design. A ohmic I-V linear characteristics has been<br />

measured, proving a correct dopant activation. RTA of arsenic-doped layers is highly difficult to be<br />

correctly simulated, because of the non-uni<strong>for</strong>m thermal profile during the RTA [Van95].<br />

Figure 3.42: Test resistors measurement on SOI and poly<strong>silicon</strong> layers, after implant and RTA.<br />

1. RTA per<strong>for</strong>med at CNRS-ULP Laboratory, Strasbourg, France.<br />

(c)<br />

1μm<br />

Poly-Si<br />

Drain Source


Process scalability 107<br />

3.6 Process scalability<br />

In this section, the scalability of the two GAA technologies (local-SOI in Section 3.5 & SOI in<br />

Section 3.6) is evaluated.<br />

Scalability of the wire cross-section<br />

Scalability of the wire cross-section, corresponding to a perimeter W eff, was shown to be very good<br />

with dimensions down to W eff=16nm <strong>for</strong> a local-SOI circular cross-section (Figure 3.33).<br />

Furthermore, shapes and dimensions are linked (large size: pentagonal, medium size: triangular,<br />

sm<strong>all</strong> size: circular). A dispersion of dimensions at wafer level due to variations in isotropic <strong>silicon</strong><br />

etching exists. Structures in the central part of the 4’’ wafer are etched faster compared with<br />

structures on the sides. The mean <strong>silicon</strong> etch rate of 250nm/min is very high and should be reduced<br />

<strong>for</strong> a tight control of dimensions. At the contrary, the use of <strong>silicon</strong> dry and wet oxidation is a very<br />

accurate technique.<br />

Scalability of the wire length and channel length<br />

Scalability of the <strong>silicon</strong> wire length is basic<strong>all</strong>y limited by the lithographic resolution, <strong>for</strong> both<br />

local-SOI and SOI wires. As the best resolution is 0.8μm in this work, then the minimal wire length<br />

is limited to slightly above this value due to the 3D geometry. Sacrificial oxidation and isotropic<br />

etching used to <strong>for</strong>m the wire cross-section will also lengthen the <strong>silicon</strong> wire.<br />

Scalability of the channel length depends on the possibility to pattern and to etch a <strong>gate</strong>-<strong>all</strong>-<strong>around</strong><br />

poly<strong>silicon</strong> structure. Channel length depends on the lithographic resolution, but also on the etching<br />

process used to pattern the <strong>gate</strong>. An increased under-etching, as used in Figure 3.41(c) is difficult to<br />

be controlled but may decrease the channel length by a few hundreds of nanometers. In such a case,<br />

the poly<strong>silicon</strong> over the wire is shorter than the poly<strong>silicon</strong> below it, and so the device may behave as<br />

a double <strong>gate</strong> transistor, the above one with a short L, and the below one with a long L.<br />

Scalability of the <strong>gate</strong> oxide<br />

It is also highly desirable to reduce the <strong>gate</strong> oxide thickness in order to increase current drive and<br />

operating frequency. In this technology, a grown 10 to 20nm thick thermal oxide is used as <strong>gate</strong><br />

dielectric, which works well with respect to <strong>gate</strong> leakage and interface defects.<br />

Poly-Si<br />

5nm<br />

SiO2<br />

Si<br />

100nm<br />

Figure 3.43: High resolution TEM image of a<br />

local-SOI triangular GAA MOSFET. The inset<br />

shows an atomic resolution zoom of a corner,<br />

indicating a very sharp <strong>silicon</strong> angle.<br />

However, there are two potential critical points<br />

in the current process with respect to scalability.<br />

First, oxidation rate is dependent on cryst<strong>all</strong>ine<br />

orientation. Thin <strong>gate</strong> oxides can be difficult to<br />

be controlled in a GAA device. Second, as<br />

explained in [Mos06], corner effects in<br />

triangular geometries (Figure 3.43) can be<br />

exploited to boost the current.<br />

Sharp <strong>silicon</strong> structures with thin grown <strong>gate</strong><br />

oxides can be problematic due to the thinning of<br />

oxide growth in the corners, which is a point<br />

with high electric field induced when the<br />

transistor is turned ON.<br />

Further device optimization will consist in<br />

reducing corner effects and using high-k<br />

dielectrics.


108 CHAPTER 3: Hybrid SET/MOS technology<br />

3.7 Summary<br />

3.7.1 Synopsis<br />

We proposed the following in this chapter:<br />

• The introduction of this chapter is on the need <strong>for</strong> advanced lithographic systems. Nanoscale<br />

dimensions can be reached by a combination of lithography and smart engineering.<br />

We show how this work was driven by the need of high resolution lithography, and what<br />

was made in order to overcome limitations.<br />

• Lateral pattern definition (Section 3.2)<br />

This process is based on the use of sidew<strong>all</strong> engineering to transfer a layer thickness in to<br />

a lateral dimension. Three techniques have been investi<strong>gate</strong>d (poly<strong>silicon</strong> oxidation,<br />

<strong>silicon</strong> oxidation and nitride deposition). Best results have been observed with <strong>silicon</strong><br />

oxidation, showing a 70nm minimal dimension and a reduced sides roughness.<br />

Furthermore, the isolation of a <strong>single</strong> <strong>silicon</strong> nanowire embedded inside an oxide grown<br />

layer has also been demonstrated and supported by TCAD simulation. However, the<br />

transfer from a <strong>single</strong> wire to a true operational device was not immediately satisfactory<br />

and it was decided not to go on with this technique.<br />

• Focused Ion Beam prototyping (Section 3.3)<br />

This section briefly reports FIB prototyping <strong>for</strong> the fast fabrication of SOI <strong>nanowires</strong>.<br />

FIB is an efficient processing system, but <strong>silicon</strong> contamination with Ga + ions remains<br />

an unresolved problem. We tested one iteration loop and show some key results.<br />

• Gate-<strong>all</strong>-<strong>around</strong> local-SOI devices (Section 3.4)<br />

This original wire integration deserves the gold medal of achievement, compared with<br />

the three other processes considered. We have fabricated <strong>silicon</strong> wires on bulk <strong>silicon</strong>,<br />

with a poly<strong>silicon</strong> GAA geometry, providing so an excellent electrostatic control of the<br />

channel.<br />

We have observed Coulomb Blockade at low temperature and excellent MOSFET<br />

characteristics at room temperature. This process has many advantages, such a very low<br />

cost of integration, excellent S/D contacts and channel cryst<strong>all</strong>inity, and many types of<br />

cross-sections shapes and dimensions, including a minimum 5nm circular diameter. The<br />

<strong>gate</strong> stack integration is also discussed, and solutions are particularly proposed to<br />

enhance the <strong>gate</strong> and S/D doping step.<br />

• Gate-<strong>all</strong>-<strong>around</strong> SOI wires (Section 3.5)<br />

The last process considered is a transfer of the local-SOI technique to SOI wafers. This<br />

process is motivated by the S/D junction leakage, that limits the operating mode of local-<br />

SOI transistors, and also by the integration of shorter channels. Wire cross-sections are<br />

rectangular, because the sacrificial oxidation - used <strong>for</strong> the wire <strong>for</strong>mation - has been<br />

strongly reduced. This process results in two major issues, which are first a BOX void<br />

below the wire and second a high sides roughness generated by the isotropic <strong>silicon</strong><br />

etching.<br />

In terms of processing, the SOI process should be re-considered. The S/D contacting,<br />

made on a thin SOI layer, is also an issue that does not affect local-SOI devices.<br />

• Local-SOI vs. SOI scalability (Section 3.6)<br />

Scalability discussion is essential. We compared the two most successful processes<br />

studied (local-SOI and SOI) and we conclude that there is no major scalability<br />

differences between these two technologies.<br />

The scalability of the channel cross-section has been shown to be excellent. On the other<br />

hand, the scalability of the wire length and the <strong>gate</strong> length is dependent on the<br />

lithographic resolution. This should be strongly improved, in order to fulfill ITRS<br />

requirements.


Bibliography 109<br />

3.8 Bibliography<br />

[Ani03] K. G. Anil, K. Henson, S. Biesemans and N. Collaert, ’’Layout density analysis of FinFETs’’,<br />

Proceedings of ESSDERC, pp. 139-142, Estoril PT, 2003.<br />

[Bis04] L. Bischoff, G. L. R. Mair, C. J. Aidinis, C. A. Londos, C. Akhmadaliev and T. Ganetsos, ’’A<br />

Au82Si18 liquid metal field-ion emitter <strong>for</strong> the production of Si ions: fundamental properties and<br />

mechanisms’’, Ultramicroscopy, vol. 100 (1-2), pp. 1-7, 2004.<br />

[Cho02] Y.-K. Choi, T.-J. King and C. Hu, ’’A spacer patterning technology <strong>for</strong> nanoscale CMOS’’, IEEE<br />

Transactions on Electron Devices, vol. 49 (3), pp. 436-441, 2002.<br />

[Cho03] Y.-K. Choi, J. Zhu, J. Grunes, J. Bokor, and G. A. Somorjai, ’’Fabrication of sub-10-nm <strong>silicon</strong><br />

nanowire arrays by size reduction lithography’’, Journal of Physical Chemistry B, vol. 107, pp.<br />

3340-3343, 2003.<br />

[Deg07] B. Degroote, R. Rooyackers, T. Vandeweyer, N. Collaert, W. Boullart, E. Kunnen, D. Shamiryan, J.<br />

Wouters, J. Van Puymbroeck, A. Dixit and M. Jurczak, ’’Spacer defined FinFET: Active area<br />

patterning of sub-20 nm fins with high density’’, Micro<strong>electron</strong>ic Engineering, vol. 84, pp. 609-618,<br />

2007.<br />

[Fei07] http://www.fei.com/Portals/_default/PDFs/content/2006_06_Nova600NanoLab_pb.pdf (2007).<br />

[Gar01] K. Garikipati and V. S. Rao, ’’Recent advances in models <strong>for</strong> thermal oxidation of <strong>silicon</strong>’’, Journal<br />

of Computational Physics, vol. 174 (1), pp. 138-170, 2001.<br />

[Gra04] P. B. Grabiec, M. Zaborowski, K. Domanski, T. Gotszalk and I. W. Rangelow, ’’Nano-width lines<br />

using lateral pattern definition technique <strong>for</strong> nanoimprint template fabrication’’, Micro<strong>electron</strong>ic<br />

Engineering, vol. 73-74, pp. 599-603, 2004.<br />

[Ito00] T. Ito and S. Okazaki, ’’Pushing the limits of lithography’’, Nature, vol. 406, pp. 1027-1031, 2000.<br />

[Jae02] R. C. Jaeger, ’’Introduction to micro<strong>electron</strong>ic fabrication: Thermal oxidation of <strong>silicon</strong>’’, Upper<br />

Saddle River: Prentice H<strong>all</strong>, New Jersey NJ, 2002.<br />

[Ked97] J. Kedzierski, J. Bokor and C. Kisielowski, ’’Fabrication of planar <strong>silicon</strong> <strong>nanowires</strong> on <strong>silicon</strong>-oninsulator<br />

using stress limited oxidation’’, Journal of Vacuum Science and Technology B, vol. 15 (6),<br />

pp. 2825-2828, 1997.<br />

[Kum06] P. S. Kumar Karre, P. L. Bergstrom, M. Govind and S. P. Karna, ’’Single <strong>electron</strong> transistor<br />

fabrication using Focused Ion Beam direct write technique’’, Advanced Semiconductor<br />

Manufacturing Conference, pp. 257-260, Boston MA, 2006.<br />

[Leh00] C. Lehrer, L. Frey, S. Petersen, M. Mizutani, M. Takai and H. Ryssel, ’’Defects and g<strong>all</strong>iumcontamination<br />

during focused ion beam micro machining’’, Conference on Ion Implantation<br />

Technology, pp. 695-698, Alpbach AT, 2000.<br />

[Lin05] B. J. Lin, ’’Lithography <strong>for</strong> manufacturing of sub-65nm nodes and beyond’’, Technical Digest of<br />

IEDM, pp. 48-51, Washington DC, 2005.<br />

[Lit06] The International Roadmap <strong>for</strong> Semiconductor, ’’Lithography’’, 2006 Edition, available online at<br />

http://www.itrs.net/, 2006.<br />

[Mel03] N. A. Melosh, A. Boukai, F. Diana, B. Gerardot, A. Badolato, P. M. Petroff and J. R. Heath,<br />

’’Ultrahigh-density nanowire lattices and circuits’’, Science, vol. 300, pp. 112-115, 2003.<br />

[Mos06] K. E. Moselund, D. Bouvet, L. Tschuor, V. Pott, P. Dainesi and A. M. Ionescu, ’’Local volume<br />

inversion and corner effects in triangular <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> MOSFETs’’, Proceedings of ESSDERC,<br />

pp. 359-362, Montreux CH, 2006.<br />

[Mos07a] K. E. Moselund, D. Bouvet, L. Tschuor, V. Pott, P. Dainesi, C. Eggimann, N. Le Thomas, R. Houdré<br />

and A. M. Ionescu, ’’Co-integration of <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> MOSFETs and local <strong>silicon</strong>-on-insulator<br />

optical waveguides on bulk <strong>silicon</strong>’’, IEEE Transactions on Nanotechnology, vol. 6 (1), pp. 118-125,<br />

2007.<br />

[Mos07b] K. E. Moselund, P. Dobrosz, S. Olsen, V. Pott, A. O’Neill, L. De Michielis, D. Tsamados, D. Bouvet,<br />

A. O’Neill and A. M. Ionescu, ’’Bended <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> nanowire MOSFET: a device with<br />

enhanced carrier mobility due to oxidation-induced tensile stress’’, Technical Digest of IEDM,<br />

Washington DC, 2007.<br />

[Oh94] C.-B. Oh, J. Ahn, S. Lee, Y.-W. Kim, D. Kim and B. Kim, ’’Series resistance at metal contact <strong>for</strong><br />

thin film SOI MOSFET’’, Proceedings of the SOI Conference, pp. 43-44, Nantucket Island MA,<br />

1994.


110 CHAPTER 3: Hybrid SET/MOS technology<br />

[Orl03] J. Orloff, M. Utlaut and L. Swanson, ’’High resolution Focused Ion Beams: FIB and its application’’,<br />

Kluwer Academic Publishers, New-York NY, 2003.<br />

[Pot05] V. Pott, D. Grogg, J. Brugger and A. M. Ionescu, ’’Silicon <strong>nanowires</strong> patterning by sidew<strong>all</strong> and<br />

nano-oxidation processing’’, Proceedings of Nano<strong>electron</strong>ics Days, pp. 19-20, FZ Jülich DE, 2005.<br />

[Pot06a] V. Pott, D. Bouvet, J. Boucart, L. Tschuor, K. E. Moselund and A. M. Ionescu, ’’Low temperature<br />

<strong>single</strong> <strong>electron</strong> characteristics in <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> MOSFETs’’, Proceedings of ESSDERC, pp. 427-<br />

430, Montreux CH, 2006.<br />

[Pot06b] V. Pott and A. M. Ionescu, ’’Conduction in ultra-thin SOI <strong>nanowires</strong> prototyped by FIB milling’’,<br />

Micro<strong>electron</strong>ic Engineering, vol. 83 (4-9), pp. 1718-1720, 2006.<br />

[Sak99] T. Sakata, T. Ogiwara, H. Takahashi and T. Sekine, ’’Investigation of Ga contamination due to<br />

analysis by dual beam FIB’’, Proceedings of ATS, pp. 389-393, Shanghai CN, 1999.<br />

[Sin06] N. Singh, F. Y. Lim, W. W. Fang, S. C. Rustagi, L. K. Bera, A. Agarwal, C. H. Tung, K. M. Hoe, S.<br />

R. Omampuliyur, D. Tripathi, A. O. Adeyeye, G. Q. Lo, N. Balasubramanian and D. L. Kwong,<br />

’’Ultra-narrow <strong>silicon</strong> nanowire <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> CMOS devices: Impact of diameter, channelorientation<br />

and low temperature on device per<strong>for</strong>mance’’, Technical Digest of IEDM, pp. 1-4, San<br />

Francisco CA, 2006.<br />

[Ste92] A. J. Steckl, H. C. Mogul and S. Mogren, ’’Localized fabrication of Si nanostructures by focused ion<br />

beam implantation’’, Applied Physics Letters, vol. 60 (15), pp. 1833-1835, 1992.<br />

[Uch02] K. Uchida, H. Watanabe, A. Kinoshita, J. Koga, T. Numata and S. Takagi, ’’Experimental study on<br />

carrier transport mechanism in ultrathin-body SOI nand p-MOSFETs with SOI thickness less than 5<br />

nm’’, Technical Digest of IEDM, pp. 47-50, San Francisco CA, 2002.<br />

[Van95] E. Vandenbossche, H. Jaouen and B. Baccus, ’’Modeling arsenic activation and diffusion during<br />

furnace and rapid thermal annealing’’, Technical Digest of IEDM, pp. 81-84, Washington DC, 1995.<br />

[Wu05] S.-E. Wu and C.-P. Liu, ’’Direct writing of Si island arrays by focused ion beam milling’’,<br />

Nanotechnology, vol. 16 (11), pp. 2507-2511, 2005.<br />

[Xia06] L. Xia, W. Wu, Y. Wang and J. Xu, ’’Characterization of focused-ion-beam damage in n-type <strong>silicon</strong><br />

using Schottky contact’’, Applied Physics Letters, vol. 88 (15), 152108, 2006.<br />

[Zab06] M. Zaborowski, D. Szmigiel, T. Gotszalk, K. Ivanova, Y. Sarov, T. Ivanov, B. E. Volland, I. W.<br />

Rangelow and P. Grabiec, ’’Nano-line width control and standards using Lateral Pattern Definition<br />

technique’’, Micro<strong>electron</strong>ic Engineering, vol. 83, pp. 1555-1558, 2006.


Chapter 4<br />

Characterization and analysis<br />

This chapter presents electrical measurements of local-SOI and SOI transistors. Analysis and<br />

discussion of obtained results are proposed.<br />

The chapter starts with an introduction on probing facilities and measurement setup. An equivalent<br />

electrical schematic of a local-SOI transistor is also shown. Then, local-SOI device measurements<br />

are reported from low temperature up to 150°C and excellent characteristics in terms of <strong>electron</strong> low<br />

field mobility, threshold voltage control and subthreshold slope are demonstrated. Electron mobility<br />

extraction shows values up to 850cm 2 /Vs at room temperature, in devices with triangular crosssections.<br />

Complementary micro-Raman spectroscopy suggests a process-induced strain distribution along<br />

suspended wires that is responsible <strong>for</strong> mobility enhancement.<br />

On the shortest devices, cryogenic (T


112 CHAPTER 4: Characterization and analysis<br />

4.1 The probe setup<br />

During this thesis, many wafers and devices have been fabricated. An optimal probing strategy,<br />

depending on available EPFL facilities, is essential. This was per<strong>for</strong>med directly on full wafers,<br />

excepted <strong>for</strong> FIB prototyped devices (see Section 3.3). A full wafer characterization is much faster<br />

and simpler than sample probing, where chip dicing, packaging and bonding are necessary.<br />

The temperature on the surface of the wafer needs to be perfectly controlled. In order to have a good<br />

thermal and electrical contact between the chuck and the wafers, the backside of <strong>all</strong> tested wafers<br />

(bulk and SOI) have been cleaned by CMP polishing. This removes easily deposited layers and any<br />

dust that may isolate the backside from the met<strong>all</strong>ic chuck. Furthermore, an indium foil is always put<br />

between the wafer and the chuck to increase thermal and electrical conductivity. All electrical<br />

characterization have been carried out using Agilent Technologies HP analyzers of the 415X family 1 .<br />

The Figure 4.1 shows the typical 4-probe arrangement used <strong>for</strong> the measurement presented in this<br />

chapter. The complete setup 2 is inside a vacuum chamber, under dark, where the temperature can be<br />

precisely controlled from T=4.2K up to T=450K.<br />

Figure 4.1: Picture of the measurement setup used <strong>for</strong> the complete characterization of devices. The<br />

moving stage with the wafer is fixed below a met<strong>all</strong>ic shield. Four DC tungsten tips are used to probe<br />

devices. Two additional RF probes are also visible but not used in this work.<br />

The <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> transistor on bulk <strong>silicon</strong><br />

The Figure 4.2 depicts an equivalent electrical schematic of a GAA MOSFET device. During<br />

measurement, bulk and source contacts are grounded. V D is a positive voltage in order to reverse<br />

biased the drain/bulk diode. The <strong>silicon</strong> nanowire threshold voltage is insensitive to any reverse<br />

substrate bias, due to the strong electrostatic shielding operated by the GAA-structure.<br />

V D<br />

n+<br />

p<br />

<strong>silicon</strong><br />

V G<br />

I ID V S<br />

150nm oxideLTO<br />

n+<br />

p<br />

V VBULK BULK<br />

Figure 4.2: Gate-<strong>all</strong>-<strong>around</strong> MOSFET electrical schematic.<br />

1. See: http://www.agilent.com<br />

2. Süss MicroTec PMC150 - Manual cryogenic probe station.<br />

See: http://www.suss.com/products/test_systems/dedicated_systems/cryogenic_probe_systems


Gate-<strong>all</strong>-<strong>around</strong> MOS characterization 113<br />

4.2 Gate-<strong>all</strong>-<strong>around</strong> MOS characterization<br />

4.2.1 Room temperature characteristics<br />

This section presents characteristics measured on bulk GAA transistors. Figures 4.3 to 4.8 show<br />

static I D-V G and I D-V D electrical characteristics of three 10μm long transistors with different crosssection<br />

shapes and dimensions. The effective width W eff and <strong>gate</strong> oxide thickness t ox are indicated on<br />

the graphics. Devices SEM/TEM pictures are inset in Figure 4.12.<br />

In terms of per<strong>for</strong>mances, <strong>all</strong> devices have a very low I OFF current of about 0.1pA to 0.5pA, which is<br />

a signature of low-defect Si/SiO 2 interface. Similarly, both <strong>gate</strong> leakage current and bulk leakage<br />

current measured are negligible.<br />

Figure 4.3: Room temperature I D -V G characteristics (linear and logarithmic scales) of a Ω-<strong>gate</strong><br />

device. The channel length is 10μm and the <strong>gate</strong> oxide thickness is 20nm.<br />

Figure 4.4: Room temperature I D -V D characteristics of a Ω-<strong>gate</strong> device. The channel length is 10μm<br />

and the <strong>gate</strong> oxide thickness is 20nm.


114 CHAPTER 4: Characterization and analysis<br />

Figure 4.5: Room temperature I D -V G characteristics (linear and logarithmic scales) of a GAA<br />

triangular device. The channel length is 10μm and the <strong>gate</strong> oxide thickness is 20nm.<br />

Figure 4.6: Room temperature I D -V D characteristics of a GAA triangular device. The channel length<br />

is 10μm and the <strong>gate</strong> oxide thickness is 20nm.<br />

Figure 4.7: Room temperature I D -V G characteristics (linear and logarithmic scales) of a GAA<br />

circular device. The channel length is 10μm and the <strong>gate</strong> oxide thickness is 10nm.


Gate-<strong>all</strong>-<strong>around</strong> MOS characterization 115<br />

Figure 4.8: Room temperature I D-V D characteristics of a GAA circular device. The channel length is<br />

10μm and the <strong>gate</strong> oxide thickness is 10nm.<br />

When reducing the cross-section of the devices, a large increase in both I ON current and wire current<br />

density is observed, as shown in Table 4.1. The current level in the sm<strong>all</strong> circular wire, with a 10nm<br />

<strong>gate</strong> oxide, is remarkably high [Pot06a]. Shorter devices (minimum channel length L=1μm) have a<br />

much higher current level, see Figure 4.16.<br />

TABLE 4.1: ON-CURRENT CHARACTERISTICS OF THREE DEVICE STRUCTURES.<br />

Device Ω-<strong>gate</strong> Triangular Circular<br />

L 10μm 10μm 10μm<br />

Weff 1.3μm 300nm 16nm<br />

Gate oxide tox 20nm 20nm 10nm<br />

VG-VT 2V 2V 2V<br />

VD 1V 1V 1V<br />

ION [A] 15.9μA 10.2μA 1.05μA<br />

ION [nA/nm] 12.2nA/nm 34nA/nm 65.6nA/nm<br />

I ON [nA/nm 2 ] N/A a<br />

a. The Ω-<strong>gate</strong> is not a <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> geometry.<br />

0.79nA/nm 2 13.3nA/nm 2<br />

I D -V D characteristics show that wire contacts are purely ohmic because they are taken on the<br />

enlarged bulk-Si region (see Figure 3.31). This is an advantage in comparison with growth <strong>silicon</strong><br />

wires where contacts can be a critical issue. On the 5nm-diameter I D -V D (Figure 4.8), we observe<br />

non significant self-heating effect (negative g DS conductance observed at V G =2V and V D =3V).<br />

Larger and shorter GAA and Ω-<strong>gate</strong> structures are not sensitive to self-heating due to an excellent<br />

heat transfer into the bulk at S/D contacts.<br />

For a more relevant comparison between the different cross-sections, a normalization factor is<br />

introduced. This is defined by:<br />

tox ⁄ Weff r + tox ln⎛--------------<br />

⎞<br />

⎝ r ⎠<br />

-------------------------<br />

2π<br />

Normalization factor <strong>for</strong> Ω-<strong>gate</strong> and triangular devices: (4.1)<br />

Normalization factor <strong>for</strong> a circular cross-section: (4.2)


116 CHAPTER 4: Characterization and analysis<br />

The normalization factor <strong>for</strong> a circular cross-sections takes into account the cylindrical geometry<br />

[Coa07], where r=2.5nm and represents the diameter of the <strong>silicon</strong> core (see Figure 3.33). The<br />

highest transconductance is observed in the triangular cross-section, suggesting enhanced carrier<br />

mobility.<br />

Figure 4.9: Room temperature normalized transconductance characteristics (left axis). On the right<br />

axis, the corresponding drain currents are plotted. Device cross-section sizes correspond to Figures<br />

4.3 to 4.8. Normalization factors are given by Equations 4.1 and 4.2.<br />

4.2.2 Temperature dependent characteristics<br />

Temperature dependent static measurements have been per<strong>for</strong>med on L=10μm long circular,<br />

triangular and Ω-<strong>gate</strong> devices. All devices are fully functional up to T=150°C. The subthreshold<br />

slope S=dV G /d(log(I D )) of the three different geometries is depicted in Figure 4.10. The slope is<br />

measured in the linear regime at V D =20mV. The three devices have a similarly very sm<strong>all</strong> slope<br />

S=70mV/decade at room temperature. However, the subthreshold slope of the 5nm cross section<br />

circular SiNW degrades much faster with the temperature than the two other devices with larger<br />

cross sections.<br />

Figure 4.10: Experimental dependence of the subthreshold slope S on temperature, <strong>for</strong> three devices.<br />

A good linearity is observed <strong>for</strong> <strong>all</strong> devices up to room temperature. The fundamental subthreshold<br />

slope minimum limit is also represented.


Gate-<strong>all</strong>-<strong>around</strong> MOS characterization 117<br />

Figure 4.11 (right) shows the threshold voltage (V T ) dependence on temperature. The threshold<br />

voltage is extracted at V D =20mV, using the Ghibaudo method described in [Ghi88], and further<br />

extended in [Ghi97]. This method exploits the linear dependence I D /g m 1/2 vs. VG in the strong<br />

inversion regime (see Equation 4.8 on page 131). It was observed that 5nm-diameter SiNW have<br />

larger V T drift with the temperature in the 200K to 425K range (-2.1mV/K), in comparison with Ω<strong>gate</strong><br />

or triangular devices (-0.85mV/K). For the two larger devices, a good linearity is observed <strong>for</strong><br />

V T drift with temperature. This is not the case <strong>for</strong> the circular nanowire.<br />

[(AV) 1/2 ]<br />

Figure 4.11: (Left) A typical I D /g m 1/2 vs. VG linear dependence used <strong>for</strong> the extraction of the<br />

threshold voltage. This methods <strong>all</strong>ows an independent extraction of V T and μ 0 . (Right) Threshold<br />

voltage V T dependence on temperature <strong>for</strong> a circular, triangular and Ω-<strong>gate</strong> device. Data extraction<br />

and cross-section sizes correspond to measurements reported in Figures 4.3 to 4.8.<br />

4.2.3 Mobility extraction and analysis<br />

A scalability study of the extracted RT low field mobility as a function of the device cross section<br />

dimensions is reported in Figure 4.12. The low field mobility is extracted using the slope of I D /g m 1/2<br />

at V D =20mV. This is an accurate method that cancels the effect of series resistances [Ghi97].<br />

A high mobility of ~850cm 2 /Vs is found in W eff =240nm (perimeter) size triangular cross-section<br />

GAA devices 1 . Exact perimeter (device width) is evaluated by FIB cross-section per<strong>for</strong>med on each<br />

device after electrical measurement. This result is fully coherent with the comparison of the<br />

normalized transconductance presented in Figure 4.9.<br />

Figure 4.12: Experimental low-field mobility, μ 0 , <strong>for</strong> different device structures and dimensions.<br />

SEM/TEM images are shown insets. A systematic inspections of measured devices provide accurate<br />

data <strong>for</strong> the cross section dimensions (W eff ).<br />

1. This work is carried out in collaboration with Kirsten E. Moselund [Mos06].


118 CHAPTER 4: Characterization and analysis<br />

Moreover, in the fabricated 5nm-diameter cross-section wires, the mobility extracted (480cm 2 /Vs) is<br />

very good and can be compared with up-to-date <strong>silicon</strong> nanowire geometries [Cui03]. This result is<br />

attributed to the excellent cryst<strong>all</strong>inity of the <strong>silicon</strong> core (in contrast to grown bottom-up<br />

<strong>nanowires</strong>), to the quasi-circular uni<strong>for</strong>mity of top-down fabricated <strong>nanowires</strong> and to the very good<br />

quality of Si/SiO 2 interface. Another important origin of this unusual high value could be the circular<br />

volume inversion and resulting displacement of the conductive channel from the Si/SiO 2 interface to<br />

the center of the wire (dark-space ring). A description of volume inversion in GAA structures can be<br />

found in [Mos06], and is also discussed in Chapter 2.<br />

Mobility temperature dependence of the compared three devices is plotted in Figure 4.13. We<br />

observe a mobility reduction at higher temperature due to the increased scattering in the <strong>silicon</strong><br />

lattice. An empirical model of mobility reduction is explained in [Bal01]. The temperature dependent<br />

mobility can be expressed in the scattering regime (T>100K) as:<br />

T<br />

μ( T)<br />

=<br />

μ0⋅⎛----- ⎞<br />

⎝ ⎠<br />

T 0<br />

– ξ<br />

where μ 0 is the bulk mobility at T 0 =300K and ξ∼2.5 is a fitting factor depending of the carrier type.<br />

Figure 4.13: Low-field mobility, μ 0 , dependence on temperature <strong>for</strong> three different cross-section size<br />

devices. A maximum of mobility of 1802cm 2 /Vs is extracted at T=77K <strong>for</strong> the circular nanowire.<br />

4.2.4 Enhanced carrier mobility due to PADOX strain<br />

Concerning the high value of mobility extracted in triangular GAA wires (μ 0 =850cm 2 /Vs at RT), this<br />

cannot be explained only by volume inversion which can appear in device corners [Mos06]. This is<br />

rather attributed to the tensile stress in the wire.<br />

Moreover, it is also worth mentioning that detailed simulations of corner effects show that their role<br />

is less significant <strong>for</strong> low doped (N A


Gate-<strong>all</strong>-<strong>around</strong> MOS characterization 119<br />

Normalized intensity<br />

Wavenumber [cm -1 ]<br />

center edge bulk<br />

Location<br />

Figure 4.14: (a) Raman spectrum measurement of a 20μm long nanowire at different positions. A<br />

clear 5.90cm -1 downshift between the nanowire center and edge peak positions indicates that this<br />

nanowire possesses 0.99% tensile strain. (b) A typical strain distribution measured by micro-Raman<br />

spectroscopy along a <strong>silicon</strong> nanowire. (c) Strain value extracted from this device. The strain is<br />

maximum in the center of the bent <strong>silicon</strong> nanowire, where the cross-section is the sm<strong>all</strong>er.<br />

The penetration depth of the λ=514nm Raman laser is approximately 760nm in <strong>silicon</strong>, there<strong>for</strong>e the<br />

Raman signal could be controlled to distinguish the interaction of phonons and atoms from within the<br />

nanowire from that of surrounding material. Raman spectra demonstrate that there is a non-uni<strong>for</strong>m<br />

strain distribution along the wire length. The maximum value of strain occurs at the centre of the<br />

wire and decreases towards the support at the nanowire ends. The substrate, support and material<br />

close to the nanowire do not exhibit any strain.<br />

Data in Figure 4.15 show the predicted trends from strain as a function of nanowire length and width<br />

using simple statistical and linear relations from 10 devices measurements. Tensile strain clearly<br />

increases with both increasing nanowire length and decreasing nanowire width.<br />

Strain value, S [%]<br />

(a)<br />

(b)<br />

Wire width, W eff [μm]<br />

Figure 4.15: Strain dependence on nanowire length and on designed (lithographic) widths that<br />

correspond to bent wires <strong>for</strong> <strong>all</strong> widths sm<strong>all</strong>er than 1.8μm.<br />

The relative increase of μ 0 in GAA MOSFET due to tensile stress shows an increase of the piezoresistive<br />

coefficient when the temperature decreases, resulting in a higher mobility gain at low<br />

temperatures, as predicted by piezo-resistance theory reported in [Kan82]. At room temperature, a<br />

mobility increase up to 40% is measured in the strong inversion regime, with built-up tensile stresses<br />

ranging from 200MPa to 2GPa in nanowire transistors. The absolute measurement error is 15MPa. In<br />

the weak inversion regime, mobility enhancement up to 90% is observed.<br />

Fin<strong>all</strong>y, as strain is necessary in the <strong>for</strong>mation of SET islands in a <strong>silicon</strong> wire (bandgap reduction),<br />

then Raman measurements strongly support PADOX occurrence in our <strong>nanowires</strong> (see Figure 2.7).<br />

Strain value<br />

8%<br />

6%<br />

4%<br />

2%<br />

0%<br />

Wire length, L [μm]<br />

(c)


120 CHAPTER 4: Characterization and analysis<br />

4.3 Cryogenic local-SOI devices characterization<br />

4.3.1 Coulomb oscillations and Coulomb gap<br />

Cryogenic temperature (4.5K


Cryogenic local-SOI devices characterization 121<br />

Apart from a V G offset, repeated measurements plotted in Figure 4.17 are reproducible in terms of I D<br />

peaks height and periodicity. The maximum I D peak current reached is about 10pA, which is<br />

consistent with other recent results on <strong>single</strong> <strong>electron</strong>ics [Lee06]. The associated transconductance is<br />

also plotted and shows a typical CB evolution; in the range of 10 -5 to 10 -6 in units of e 2 /h.<br />

Fast Fourier Trans<strong>for</strong>m (FFT) was per<strong>for</strong>med (with data from Figure 4.17) to extract the power<br />

spectrum density (Figure 4.18). This gives an estimation of the power associated with each<br />

periodicity in the I D -V G curve. The dispersion <strong>around</strong> the central value is probably due to the<br />

modulation of the electrostatic<strong>all</strong>y defined channel [Boe03] and is discussed in the next section. In<br />

addition, I D peak current change in Figure 4.17 could be induced by a dependency with V G of the<br />

tunnel barriers height.<br />

Periodicity, ΔVG [mV]<br />

Figure 4.18: Power Fast Fourier Trans<strong>for</strong>m (FFT) of measured characteristics from Figure 4.17.<br />

Peak periodicity is ranging from 10 to 30mV over 8 oscillations. The associated <strong>gate</strong> capacitance<br />

C G =e/ΔV G is ranging from 6 to 16aF. The very low value of Power FFT <strong>for</strong> V G 500nm) or <strong>for</strong><br />

T>20K.<br />

Figure 4.19: Low temperature I D -V D characteristics of a triangular GAA transistor showing a<br />

Coulomb blockade gap of 20mV <strong>for</strong> T


122 CHAPTER 4: Characterization and analysis<br />

The next plot, in Figure 4.20, is another measurement per<strong>for</strong>med on a L=1.2μm GAA triangular<br />

device. The progressive disappearance of the oscillating regime in weak/moderate inversion is<br />

clearly observed. At T=20K, no oscillations are visible, which is coherent with other oscillating<br />

device measurement and with the literature (see Figure 2.13).<br />

Figure 4.20: I D -V G thermal dependent characteristics of a triangular <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> transistor. The<br />

channel length is 1.2μm and the <strong>gate</strong> oxide thickness 20nm.<br />

The Figure 4.21 shows the impact of the drain bias V D on oscillating characteristics. A drain bias<br />

sm<strong>all</strong>er than 10mV, is needed to increase the oscillating current behavior, which is fully coherent<br />

with the orthodox theory (see Section 1.5.2). As a comparison, kT/q=0.86mV at T=10K. The V D gap<br />

range is in agreement with the I D -V D Coulomb gap measured in Figure 4.19.<br />

Figure 4.21: I D -V G characteristics of a triangular <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> transistor, <strong>for</strong> different drain<br />

biases. The channel length is 1.2μm and the <strong>gate</strong> oxide thickness 20nm. Oscillations are observed at<br />

low V D bias and in the weak/moderate inversion regime.<br />

In summary, devices integrated with the <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> local-SOI technology (Section 3.4) are<br />

exhibiting I D -V G oscillations and an I D -V D conduction gap, at a temperature of T


Cryogenic local-SOI devices characterization 123<br />

These conditions result from the observation of the different tested devices. Un<strong>for</strong>tunately, it was not<br />

possible to probe device at a temperature lower than liquid He (T=4.5K). However, an interesting<br />

measure is the characterization of a 5nm diameter <strong>silicon</strong> nanowire device. Despite its very reduced<br />

cross-section, this device is not oscillating at <strong>all</strong>. The curve is plotted in Figure 4.22.<br />

Figure 4.22: I D -V G characteristics of a 10μm GAA transistor, measured at T=4.5K and at very low<br />

drain bias. The <strong>gate</strong> oxide thickness is 10nm and the equivalent width 16nm. This device corresponds<br />

to the TEM image in Figure 3.33.<br />

The output characteristic of the same device is represented in Figure 4.23. In accordance with the<br />

previous measurement (Figure 4.22), this device does not show any Coulomb gap at low<br />

temperature. Only a tiny kink effect is observed and is discussed in the Section 4.3.3.<br />

Figure 4.23: On the right, output characteristics (I D -V D ) of a 10μm circular GAA transistor,<br />

measured at T=4.5K. The <strong>gate</strong> oxide thickness is 10nm and the equivalent width 16nm. The inset on<br />

the left represents the same device measurement, at a different scale. This device corresponds to the<br />

TEM image in Figure 3.33.<br />

4.3.2 Cryogenic measurement analysis<br />

Linear<br />

regime<br />

The previous section reports cryogenic measurements observed. The analysis is split in two parts.<br />

First, device parameters are extracted with orthodox theory <strong>for</strong>malism, and the possible origin of<br />

SET island and tunnel barriers are discussed. The orthodox theory is an ideal SET model, but is not<br />

perfectly suited <strong>for</strong> <strong>silicon</strong>-based devices.<br />

At the contrary, the second analysis based on 1D-quantum sub-bands <strong>for</strong>mation is a true physical<br />

approach. This models describe irregular I D -V G oscillations observed in the conductance of a 1D<br />

<strong>silicon</strong> nanowire. Fin<strong>all</strong>y, the validity of each approach is discussed, references are given and an<br />

optimization of the CB regime is proposed.<br />

kink


124 CHAPTER 4: Characterization and analysis<br />

Orthodox theory parameter extraction<br />

If we consider I D -V G plots in Figure 4.17 or in Figure 4.20, then we observe two regions, depending<br />

on the channel inversion level - controlled by the <strong>gate</strong> bias:<br />

(i) Depletion to weak inversion: we observe oscillations with an average<br />

period of 20mV. The V G range with oscillating characteristics is about<br />

100mV to 200mV. The maximum number of periods observed is 8.<br />

(ii) Strong inversion: the device has a pure FET behavior (no oscillations).<br />

In standard orthodox theory [Lik99], a <strong>single</strong> <strong>electron</strong> transistor consists in a conductive quantum dot<br />

connected to two reservoirs through opaque tunnel junctions. Even though the design of a thin barrier<br />

is a big technological ch<strong>all</strong>enge, some reports have shown CB oscillations in structures without<br />

intentional barriers (see Section 2.2). To explain this, it is suggested (see Figure 2.7) that a nanoscale<br />

<strong>silicon</strong> constriction combined with stress non-uni<strong>for</strong>mity leads to tunnel barriers along the length of<br />

the channel [Tak00]. It is interesting to note that the height of these unintentional tunnel barriers<br />

could be dependent on V G . For example, a decrease of tunnel barrier resistance could explain the<br />

disappearance of the oscillations in strong inversion regime.<br />

The proposed theory to explain SET behavior can be supported by the fact that the oxidation induced<br />

stress, which lowers the bandgap in the middle of the wire [Tak04], is maximum in the center of the<br />

wire. This is coherent with Raman strain measurement presented in the section 4.2.4. There<strong>for</strong>e, at<br />

low V G the conducting channels could be <strong>for</strong>med in a potential well which would provide lateral<br />

tunnel barriers <strong>for</strong> SET operation. The role of corners is essential, as only triangular devices exhibit<br />

CB at T>4.5K. However, as V G increases, inversion takes place in the whole wire and the tunnel<br />

barriers disappear. This means that the device behaves as a FET in the strong inversion regime.<br />

Moreover, in addition to the lateral confinement we deduce that further localization occurs in the<br />

length of the wire. This explains the low value of C G (typic<strong>all</strong>y 8 to 16aF, <strong>for</strong> measurement reported<br />

in Figure 4.17) extracted from the measured CB oscillations.<br />

Device parameters were extracted from best measurements (Figure 4.17) and fitted by using Monte-<br />

Carlo simulation (see Section 1.5.2). We found the following values: C G =16aF, C D =C S =4aF and<br />

R D =R S =10MΩ. This fit was calculated over 8 oscillation periods and is not taking into account the<br />

dependency of R D and R S with V G .<br />

Altogether, we propose a parametric equivalent model (see Figure 4.24) <strong>for</strong> a GAA triangular device<br />

that consists in a SET based on analytical modeling developed on orthodox theory [Lik99], in<br />

par<strong>all</strong>el with a FET based on EKV compact theory [Sal03].<br />

Figure 4.24: (a) I D -V G model based on an a SET-FET current superposition. The total device current<br />

is simply modeled by making the sum of SET and FET contributions. SET simulation parameters are<br />

C G =16aF, C D =C S =4aF and R D =R S =10MΩ. FET simulation is using the following parameters:<br />

W eff =120nm, L=1μm and t ox =40nm. (b) Equivalent circuit schematic corresponding to a triangular<br />

cross-section. (c) Device cross-section. (d) TEM cross-section of a triangular device.<br />

VD<br />

ID<br />

VG<br />

VS<br />

FET<br />

Gate<br />

oxide<br />

(d)<br />

(a) (b) (c)<br />

VG<br />

Si<br />

Corner<br />

(SET dot)


Cryogenic local-SOI devices characterization 125<br />

The total device current is I nanowire =I SET +I FET . Such an approach has still been proposed, <strong>for</strong><br />

example by B. Naser in [Nas03]. The advantages of this equivalent model are:<br />

• An extreme simplicity.<br />

• A full de-coupling between SET and FET theory.<br />

• A continuous expression from weak to moderate and strong inversions.<br />

• An easy fit between measurements and modeling.<br />

For a negative V G voltage (depletion/accumulation), the wire is blocked and the current should be set<br />

to I OFF value (


126 CHAPTER 4: Characterization and analysis<br />

The function f FD (E) represents the familiar Fermi-Dirac distribution:<br />

fFD( E)<br />

1 + e<br />

E– EF --------------kT<br />

This model was preliminary executed <strong>for</strong> a triangular cross-section and at room temperature. The<br />

simulation of the energy distribution as a function of the product of DoS and Fermi-Dirac<br />

distribution is represented in Figure 4.25. The two curves plotted represent a comparison between a<br />

classical Poisson model (3D <strong>electron</strong>-gas) and a combined Schroedinger-Poisson model (1D<br />

<strong>electron</strong>-gas). The mean difference between the discreet levels E j <strong>for</strong> such a cross-section and at<br />

room temperature are much sm<strong>all</strong>er than the thermal energy kT=25.9meV. This means that no<br />

significant oscillating characteristics will be observed on measured characteristics (see Figure 4.16).<br />

Figure 4.25: Simulation of a 3D and 1D <strong>electron</strong> energy distribution per<strong>for</strong>med on a triangular crosssection.<br />

1D singularities are resulting from quantum confinement in the structure. The <strong>gate</strong> voltage is<br />

set at V G=V FB and the temperature is T=300K. At an energy level above ~6kT from the conduction<br />

band E c0, the <strong>electron</strong> population is almost negligible.<br />

The sm<strong>all</strong>er the cross-section, and the higher the difference between two successive sub-bands. A<br />

sm<strong>all</strong>er cross-section is much more sensitive to one-dimensional quantum sub-bands <strong>for</strong>mation.<br />

30nm<br />

-----------------------<br />

1<br />

=<br />

Energy distribution, Ec [eV]<br />

0.16<br />

0.14<br />

0.12<br />

0.1<br />

0.08<br />

0.06<br />

0.04<br />

0.02<br />

0<br />

-0.02<br />

45nm<br />

BOX<br />

3D distribution<br />

Figure 4.26: Finite element meshing.<br />

1D distribution<br />

30nm<br />

Density of states x Fermi-Dirac distribution, [cm -3 eV -1 ]<br />

Gate<br />

oxide<br />

45nm<br />

T=300K<br />

VG=VFB<br />

With the generated mesh structure, then it is possible to calculate the <strong>electron</strong> concentration n(x,y) at<br />

any <strong>gate</strong> voltage, by using the Equation 4.5.<br />

~6kT=0.16eV<br />

Si<br />

T ox=20nm<br />

Conduction band: Ec0<br />

x10 -7<br />

(4.6)<br />

The Figure 4.26 represents the finite element<br />

meshing generated by COMSOL <strong>for</strong> the<br />

simulation. A thin buried oxide must be<br />

preserved, but this has a negligible impact on the<br />

results.<br />

In order to limit the processing time, the number<br />

of elements should be as reduced as possible.<br />

Indeed, in a self-consistent coupled Poisson-<br />

Schroedinger simulation, two different solvers are<br />

needed: a linear solver <strong>for</strong> the Poisson equation<br />

and a eigen-values solver <strong>for</strong> the Schroedinger<br />

equation. This means also that full 3D simulation<br />

is extremely time consuming.


Cryogenic local-SOI devices characterization 127<br />

The Figure 4.27 shows the <strong>electron</strong> distribution in a triangular wire biased in the strong inversion<br />

regime. Three peaks appear in the corners of the structure. This cross-section size is fully depleted in<br />

the strong inversion mode. See Section 2.4.2 <strong>for</strong> a comparison with Density-Gradient simulation.<br />

Figure 4.27: Poisson-Schroedinger <strong>electron</strong> concentration simulation in a GAA triangular crosssection,<br />

<strong>for</strong> an applied voltage of V G=0.5V and at T=300K.<br />

The total current in the wire is calculated with the Equation 4.7. The validity of this equation is<br />

limited to long channel devices (L>500nm) and at low drain bias (V D


128 CHAPTER 4: Characterization and analysis<br />

The same model could be theoretic<strong>all</strong>y used at very low temperature (T


Cryogenic local-SOI devices characterization 129<br />

The CB behavior discussion is summarized on Table 4.2. This concludes the discussion on the origin<br />

of Coulomb oscillations. The general conclusion is proposed in Chapter 5.<br />

TABLE 4.2: OSCILLATIONS ORIGIN HYPOTHESIS AND DISCUSSION.<br />

Models Pro Opposite Ref<br />

Role of the<br />

corners<br />

1D quantum<br />

sub-bands<br />

<strong>for</strong>mation<br />

PADOX:<br />

strain<br />

engineering<br />

Impurities<br />

and<br />

scattering<br />

• Coulomb Blockade observed in<br />

triangular wires only.<br />

•I D -V G oscillations measured.<br />

•I D -V D gap measured.<br />

• Coherent with the MOS coupled<br />

effect observed.<br />

• Coherent with physics and<br />

modeling.<br />

• Very sm<strong>all</strong> cross-section.<br />

• May explain irregular oscillations<br />

observed.<br />

• Strain level measured by micro-<br />

Raman spectroscopy.<br />

• Strain combined with 1D-confined<br />

results in a quantum well in the<br />

center of the wire (PADOX, see<br />

Chapter 2).<br />

• The sm<strong>all</strong>er the cross-section, and<br />

the higher the strain.<br />

• May also explain irregular<br />

oscillations.<br />

4.3.3 Kink effect in local-SOI devices<br />

• A localization along the length of<br />

the wire should be highlighted.<br />

• No oscillations observed in circular<br />

<strong>nanowires</strong> (L=10μm, d=5nm).<br />

• Variations of dimensions along the<br />

length of the wire may result in a<br />

variation of the DoS.<br />

• Does not explain the I D -V D<br />

Coulomb gap measured.<br />

• The wire length is long compared to<br />

a typical SET island.<br />

[Pot06b]<br />

[Pot06c]<br />

[Nas03]<br />

[Col06a]<br />

[Col06b]<br />

[Col06c]<br />

[Dav98]<br />

[Gre90]<br />

[Mos07a]<br />

[Dob05]<br />

[Tak00]<br />

• No analysis of highly doped wire. [Eva01]<br />

At low temperature (T


130 CHAPTER 4: Characterization and analysis<br />

At room temperature, no kink has been observed, <strong>for</strong> V DS 11V) on<br />

transistors that have a short channel (L=0.9 to 10μm).<br />

This impact ionization effect can be exploited to abruptly switch from low to high current (2 decades<br />

of current) states of I D -V G characteristics with ultra-abrupt slopes of 5 to 10mV/dec.<br />

At low temperature, impact ionization is clearly described in [Bal01]. In our GAA devices, the<br />

successive physical effects that appear are shown in Figure 4.31.<br />

Wire<br />

Fully depleted<br />

region<br />

Deposited oxide<br />

Bulk p-Si<br />

IDS<br />

IDS<br />

Impact<br />

ionization<br />

Drain<br />

n+<br />

Figure 4.31: Schematic of the neutral p-type pocket in a GAA transistor. At high V DS , holes are<br />

polarizing this pocket and <strong>for</strong>ward biasing this region. This results in a lower V T <strong>for</strong> the <strong>all</strong>-<strong>around</strong><br />

channel, and so a net I D increase.<br />

The low temperature reduces the current leakage from the p-type pocket to drain and increases also<br />

the resistances of this pocket (freeze-out of dopants). As a result, the kink effect occurs at lower V DS<br />

and is observed with a steeper slope in the I D -V D characteristics.<br />

However, this impact ionization effect does not affect the per<strong>for</strong>mances in our devices, as it is turned<br />

ON only at high values of V D . It was only proposed as additional in<strong>for</strong>mation.<br />

e-<br />

e-<br />

x<br />

h +<br />

h +<br />

x<br />

Impact<br />

ionization<br />

VDS increase<br />

p-Si<br />

Electric field EDS increase<br />

Impact ionization<br />

h + in the neutral region<br />

Self-polarization of neutral<br />

region<br />

Equivalent VT drop<br />

ID current increase<br />

n+


SOI transistors 131<br />

4.4 SOI transistors<br />

4.4.1 Room temperature characterization<br />

Gate-<strong>all</strong>-<strong>around</strong> <strong>nanowires</strong> have been produced on SOI wafers. The complete process flow is<br />

presented in the Section 3.5. This characterization part presents measurements and discussed the<br />

per<strong>for</strong>mances of the devices. Compared with local-SOI devices on bulk <strong>silicon</strong>, the integration and<br />

control of dimensions on SOI is even more difficult. However, advantages of the fabricated SOI<br />

wires are a reduced channel length (poly<strong>silicon</strong> under-etch), a 10nm thin <strong>gate</strong> oxide, RTA activated<br />

dopants and no bulk current leakage.<br />

First curves proposed are I D-V G and I D-V D measurements of a planar W/L=2μm/2μm SOI device<br />

(see Figure 3.41). The SOI thickness in the active region is 40nm, inducing a fully-depleted<br />

operating mode [Kuo98].<br />

VFB<br />

Figure 4.32: Room temperature I D -V G (left plot) and I D -V D (right plot) characteristics of a 2μm x<br />

2μm SOI device. The bulk bias is grounded during measurement.<br />

The analysis of the curves presented in Figure 4.32 is:<br />

VT<br />

S=300mV/dec<br />

S=330mV/dec<br />

S=120mV/dec<br />

• This device is a <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> transistor but behaves rather as a <strong>single</strong> <strong>gate</strong> SOI<br />

MOSFET with the second <strong>gate</strong> non-implanted. Indeed, the poly<strong>silicon</strong> below the <strong>gate</strong> is<br />

not or partly doped. This blurring effect is the same as the one presented <strong>for</strong> the Ω-<strong>gate</strong>,<br />

in Figure 3.36.<br />

•The I OFF current is very low (


132 CHAPTER 4: Characterization and analysis<br />

• The cross-section of SOI devices is rectangular, even <strong>for</strong> sm<strong>all</strong> cross-sections<br />

dimensions, as shown in Figure 4.33.<br />

• S/D contacts are ohmic. Neither self-heating, nor a kink are observed on room<br />

temperature I D -V D characteristics.<br />

Sm<strong>all</strong> cross-section SOI devices have also been investi<strong>gate</strong>d. The device is first measured and then<br />

cut by FIB milling. It corresponds to the device shown in Figure 4.33.<br />

BOX<br />

SOI pad (D)<br />

SOI pad (S)<br />

Figure 4.33: SEM pictures of a 300nm long SOI nanowire transistor. On the left, a tilted view of the<br />

device is shown. On the right, the same device after FIB cut is displayed. The buried oxide could not<br />

have been kept during the process, and a very thin 10nm oxide (<strong>gate</strong> oxide growth) is insulating the<br />

<strong>silicon</strong> bulk from the poly<strong>silicon</strong>.<br />

Room temperature I D -V G characteristics of the device of Figure 4.33 is proposed in Figure 4.34.<br />

Compared with a large cross-section, a reduced I ON current is measured. The subthreshold swing is<br />

divided into two sections: the first part at low V G with a steeper slope and a second with a reduced<br />

swing. This could be explained by the strong impact of the handle wafer acting as a second <strong>gate</strong>.<br />

The effective width of this device is not the perimeter of the channel cross-section, because the<br />

poly<strong>silicon</strong> below the SOI is un-doped (LPCVD poly<strong>silicon</strong>). The SOI <strong>gate</strong> doping (arsenic + RTA)<br />

strongly differs from the local-SOI <strong>gate</strong> process (phosphorus + thermal annealing).<br />

Figure 4.34: I D -V G characteristics of a 300nm SOI nanowire transistor. The top wire width is about<br />

500nm.<br />

We conclude as followed:<br />

FIB cut<br />

Gate<br />

Pt Silicon<br />

Gate (poly-Si)<br />

SiO 2 (10nm)<br />

4μm<br />

Si-bulk<br />

500nm<br />

• From measurements of Figure 4.34, it was extracted I ON =4.6nA/nm at V G -V T =2V and<br />

V D =1V. This is a very low value.


SOI transistors 133<br />

• It seems difficult to explain the low current drive of SOI <strong>nanowires</strong>, because larger<br />

transistors integrated on the same wafer are showing good characteristics. This could, <strong>for</strong><br />

instance, be attributed to the uncertainty over W and L dimensions.<br />

• The worst case access resistance of SOI <strong>nanowires</strong> has been calculated. This considers a<br />

uni<strong>for</strong>mly n+ doped (10 -3 Ωcm) conductive layer, with a junction depth x j =50nm, a width<br />

of 500nm and a length of 3μm. This results in R ACCESS =1.2kΩ. This value cannot<br />

explain the very low current measured.<br />

• The subthreshold behavior is slightly improved in comparison with larger transistors.<br />

The I D -V D characteristics of the same device are plotted in Figure 4.35.<br />

Figure 4.35: I D -V D characteristics of a L=300nm and W=500nm SOI nanowire.<br />

In order to highlight the effect of the bulk polarization, the same SOI nanowire has been measured<br />

with 3 different handle wafer bias values, as reported in Figure 4.36. A large positive bias increases<br />

the drain current by a factor of 2.5 in the strong inversion regime, compared to a grounded bulk.<br />

Figure 4.36: The impact of the handle wafer bias on the current output <strong>for</strong> an SOI <strong>nanowires</strong> device,<br />

the same as the one measured in Figure 4.34 and 4.35.<br />

Despite many sm<strong>all</strong> SOI devices characterization, the origin of such a reduced current level is still<br />

not clearly explained. However, the feasibility of SOI <strong>nanowires</strong> is shown, even if devices size,<br />

structure and per<strong>for</strong>mances should be highly improved.


134 CHAPTER 4: Characterization and analysis<br />

4.5 Summary<br />

4.5.1 Synopsis<br />

We proposed the following in this chapter:<br />

• Room temperature local-SOI MOS characteristics (Section 4.2)<br />

Excellent room temperature characteristics are demonstrated. We observed a good<br />

control of the threshold voltage and a close-to-ideal subthreshold slope of 70mV/decade.<br />

Depending on wire shape and dimension, it was found a maximum of 850cm 2 /Vs lowfield<br />

mobility <strong>for</strong> mid-range triangular cross-section size. Furthermore, the mobility in<br />

ultra-scaled <strong>silicon</strong> <strong>nanowires</strong> is good, in contrast with the circular 5nm diameter of the<br />

device.<br />

Enhanced carrier mobility is explained by strain induced during <strong>silicon</strong> oxidation. Bent<br />

<strong>nanowires</strong> exhibit a shifted Raman spectrum, which demonstrates tensile strain in the<br />

center of the wire and so explain the large value of mobility measured. Statistic analysis<br />

on wire length and cross-section size shows a maximum accumulated strain on sm<strong>all</strong>est<br />

cross-sections.<br />

• Local-SOI MOS-SET <strong>hybrid</strong> characteristics at low temperature (Section 4.3)<br />

We measured at cryogenic temperature short <strong>silicon</strong> wires (L


Bibliography 135<br />

4.6 Bibliography<br />

[Bal01] ’’Device and circuit cryogenic operation <strong>for</strong> low temperature <strong>electron</strong>ics’’, edited by F. Balestra and<br />

G. Ghibaudo, Kluwer academic publisher, ISBN 0-7923-7377-4, 2001.<br />

[Boe03] F. Boeuf, X. Jehl, M. Sanquer and T. Skotnicki, ’’Controlled <strong>single</strong>-<strong>electron</strong> effects in<br />

nonoverlapped ultra-short <strong>silicon</strong> field effect transistors’’, IEEE Transactions on Nanotechnology,<br />

vol. 2 (3), pp.144-148, 2003.<br />

[Coa07] http://www.microwaves101.com/encyclopedia/coaxdual.cfm (2007)<br />

[Col06a] J.-P. Colinge, L. Floyd, A. J. Quinn, G. Redmond, J. C. Alderman, X. Xiong, C. R. Cleavin, T.<br />

Schulz, K. Schruefer, G. Knoblinger and P. Patruno, ’’Temperature effects on tri<strong>gate</strong> SOI<br />

MOSFET’’, Electron Device Letters, vol. 27 (3), pp. 172-174, 2006.<br />

[Col06b] J.-P. Colinge, A. J. Quinn, L. Floyd, G. Redmond, J. C. Alderman, W. Xiong, C. R. Cleavelin, T.<br />

Schulz, K. Schruefer, G. Knoblinger and P. Patruno, ’’Low-temperature <strong>electron</strong> mobility in tri<strong>gate</strong><br />

SOI MOSFETs’’, Electron Device Letters, vol. 27 (2), pp. 120-122, 2006.<br />

[Col06c] J.-P. Colinge, J. C. Alderman, W. Xiong and C. R. Cleavelin, ’’Quantum-mechanical effects in<br />

tri<strong>gate</strong> SOI MOSFETs’’, Transactions on Electron Devices, vol. 53 (5), pp. 1131-1136, 2006.<br />

[Cui03] Y. Cui, Z. Zhong, D. Wang, W. U. Wang and C. M. Lieber, ’’High per<strong>for</strong>mance <strong>silicon</strong> nanowire<br />

field effect transistors’’, Nano Letters, vol. 3 (2), pp. 149-152, 2003.<br />

[Dav98] J. H. Davies, ’’The physics of low-dimensional devices’’, Cambridge University Press, UK, 1998.<br />

[Dob05] P. Dobrosz, S. J. Bull, S. H. Olsen and A. G. O’Neill, ’’The use of Raman spectroscopy to identify<br />

strain and strain relaxation in strained Si/SiGe structures’’, Surface and Coatings Technology, vol.<br />

200 (5-6), pp. 1755-1760, 2005.<br />

[Eva01] G. J. Evans, H. Mizuta and H. Ahmed, ’’Modelling of structural and threshold voltage characteristics<br />

of randomly doped <strong>silicon</strong> <strong>nanowires</strong> in the Coulomb-Blockade regime’’, Japanese Journal of<br />

Applied Physics, vol. 40, pp. 5837-5840, 2001.<br />

[Ghi88] G. Ghibaudo, ’’New method <strong>for</strong> the extraction of MOSFET parameters’’, Electronics Letters, vol. 12<br />

(9), pp. 543-545, 1988.<br />

[Ghi97] G. Ghibaudo, ’’Critical MOSFETs operation <strong>for</strong> low voltage/low power IC's: Ideal characteristics,<br />

parameter extraction, electrical noise and RTS fluctuations’’, Micro<strong>electron</strong>ic Engineering, vol. 39<br />

(1-4), pp. 31-57, 1997.<br />

[Gre90] M. A. Green, ’’Intrinsic concentration, effective densities of states, and effective mass in <strong>silicon</strong>’’,<br />

Journal of Applied Physics, vol. 67 (6), pp. 2944-2954, 1990.<br />

[Hof05] M. Hofheinz, X. Jehl, M. Sanquer, G. Molas, M. Vinet and S. Deleonibus, ’’Detection of individual<br />

traps in <strong>silicon</strong> nanowire transistors’’, Proceedings of ESSDERC, pp. 225-228, Grenoble FR, 2005.<br />

[Kan82] Y. Kanda, ’’A graphical representation of the piezoresistance coefficients in <strong>silicon</strong>’’, Transactions<br />

on Electron Devices, vol. 29, pp. 64-72, 1982.<br />

[Kuo98] J. B. Kuo and K.-W. Su, ’’CMOS VLSI Engineering Silicon-on-Insulator (SOI)’’, Kluwer Academic<br />

Publishers, Dordrecht NL, 1998.<br />

[Lee06] W. Lee, P. Su, H.-Y. Chen, C.-Y. Chang, K.-W. Su, S. Liu and F.-L. Yang, ’’An assessment of <strong>single</strong><strong>electron</strong><br />

effects in multiple-<strong>gate</strong> SOI MOSFETs with 1.6-nm <strong>gate</strong> oxide near room temperature’’,<br />

Electron Device Letters, vol. 27 (3), pp. 182-184, 2006.<br />

[Lik99] K. K. Likharev, ’’Single <strong>electron</strong> devices and their applications’’, Proceedings of the IEEE, vol. 87<br />

(4), pp. 606-632, 1999.<br />

[Mos06] K. E. Moselund, D. Bouvet, L. Tschuor, V. Pott, P. Dainesi and A. M. Ionescu, ’’Local volume<br />

inversion and corner effects in triangular <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> MOSFETs’’, Proceedings of ESSDERC,<br />

pp. 359-362, Montreux CH, 2006.<br />

[Mos07a] K. E. Moselund, P. Dobrosz, S. Olsen, A. O’Neill, L. De Michielis, V. Pott, D. Tsamados and A. M.<br />

Ionescu, ’’Bended <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> nanowire MOSFET: a device with enhanced carrier mobility due<br />

to oxidation-induced tensile stress’’, Technical Digest of IEDM, Washington DC, 2007.<br />

[Mos07b] K. E. Moselund, V. Pott, D. Bouvet and A. M. Ionescu, ’’Abrupt current switching due to impact<br />

ionization effects in Ω-MOSFET on low doped bulk <strong>silicon</strong>’’, Proceedings of ESSDERC, pp. 287-<br />

290, Munich DE, 2007.


136 CHAPTER 4: Characterization and analysis<br />

[Nas03] B. Naser, K. H. Cho, S. W. Hwang, J. P. Bird, D. K. Ferry, S. M. Goodnick, B. G. Park and D. Ahn,<br />

’’Transport study of ultra-thin SOI MOSFETs’’, Physica E: Low-dimensional Systems and<br />

Nanostructures, vol. 19 (1-2), pp. 39-43, 2003.<br />

[Pot06a] V. Pott, D. Bouvet, K. E. Moselund and A. M. Ionescu, ’’Carrier mobility in ultra-scaled <strong>gate</strong>-<strong>all</strong><strong>around</strong><br />

<strong>silicon</strong> <strong>nanowires</strong>’’, International Conference on Nano Science and Nano Technology (GJ-<br />

NST), Gwangju KO, 2006.<br />

[Pot06b] V. Pott, D. Bouvet, J. Boucart, L. Tschuor, K. E. Moselund and A. M. Ionescu, ’’Low temperature<br />

<strong>single</strong> <strong>electron</strong> characteristics in <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> MOSFETs’’, Proceedings of ESSDERC, pp. 427-<br />

430, Montreux CH, 2006.<br />

[Pot06c] V. Pott, J. Boucart, D. Bouvet, K. E. Moselund and A. M. Ionescu, ’’Coulomb blockade in <strong>gate</strong>-<strong>all</strong><strong>around</strong><br />

<strong>silicon</strong> nanowire MOSFETs’’, Proceedings of IEEE Silicon Nano<strong>electron</strong>ics Workshop, pp.<br />

25-26, Honolulu HI, 2006.<br />

[Sal03] J.-M. S<strong>all</strong>ese, M. Bucher, F. Krummenacher and P. Fazan, ’’Inversion charge linearization in<br />

MOSFET modeling and rigorous derivation of the EKV compact model’’, Solid-State Electronics,<br />

vol. 47 (4), pp. 677-683, 2003.<br />

[Tak00] Y. Takahashi, A. Fujiwara, Y. Ono and K. Murase, ’’Silicon <strong>single</strong>-<strong>electron</strong> devices and their<br />

applications’’, Proceedings of ISMVL Conference, pp. 411-420, Los Alamitos CA, 2000.<br />

[Tak04] Y. Takahashi, Y. Ono, A. Fujiwara and H. Inokawa, ’’Silicon <strong>single</strong>-<strong>electron</strong> devices and their<br />

applications’’, Proceedings of ICSICT Conference, vol. 1, pp. 624-629, Beijing CN, 2004.


Chapter 5<br />

Conclusion and perspectives<br />

At the end comes the conclusion. Both strengths and limitations of this thesis are given.<br />

Perspectives are also proposed, including some personal views.


138 CHAPTER 5: Conclusion and perspectives<br />

5.1 Major achievements in this work<br />

The main contributions of this work have been divided in two distinct levels: the MOS part and the<br />

SET part, as suggested in Figure 5.1. The two devices are explored supposing their integration on an<br />

identical structure: the <strong>silicon</strong> nanowire.<br />

STOP<br />

Problematic<br />

End of Moore’s law<br />

Short channel effect<br />

Power consumption<br />

Which ITRS roadmap ?<br />

Integration cost<br />

Figure 5.1: From a problematic, we developed a <strong>single</strong> solution <strong>for</strong> two complementary applications.<br />

The major achievements of this thesis can be summarized as:<br />

One solution: GAA<br />

<strong>silicon</strong> <strong>nanowires</strong><br />

A <strong>single</strong> low cost technology<br />

Many dimensions and shapes<br />

Nanoscale channel section<br />

Application 1: MOS Application 2: SET<br />

Enhanced carrier mobility<br />

Strained <strong>silicon</strong><br />

Low IOFF and High I ON<br />

• We proposed and tested different technologies <strong>for</strong> the integration of <strong>silicon</strong> <strong>nanowires</strong>.<br />

The most successful was the <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> nanowire processing on bulk <strong>silicon</strong>, and<br />

was presented in Section 3.4.<br />

Despite some limitations, we have obtained <strong>silicon</strong> <strong>nanowires</strong> with various cross-section<br />

shapes and dimensions. A minimum of 5nm in the diameter of a circular wire has been<br />

observed (See Figure 3.33). The merit of this cross-section dimension, compared with<br />

state-of-the-art <strong>silicon</strong> <strong>nanowires</strong>, was highly encouraging. Advantages of the top-down<br />

developed process are (i) a prefect localization of the wires, (ii) a control of the doping<br />

level and of the cryst<strong>all</strong>ine orientation, (iii) very good ohmic contacts and (iv) an<br />

excellent yield and reproducibility over the wafer. Furthermore, the global cost of the<br />

process was very low. The scalability of this process was discussed and was shown to be<br />

excellent.<br />

At the opposite, the <strong>gate</strong> stack integration, made of undoped poly<strong>silicon</strong>, may lead in lost<br />

in the channel electrostatic control and should be improved.<br />

• Static measurements of GAA MOSFET are reported and interpreted. Characteristics are<br />

presented, including the extraction of the threshold voltage and of the subthreshold<br />

slope. Furthermore, micro-Raman measurement has shown enhanced <strong>electron</strong> mobility<br />

(up to μ n =850cm 2 /Vs at RT) due to process-induced strain in the wire.<br />

• Cryogenic measurements (T


Perspectives 139<br />

5.2 Perspectives<br />

This second section is a discussion on CMOS/SET <strong>hybrid</strong> technology perspectives, based on the<br />

author’s experience during the Ph.D. work and his personal point of views. This thesis report has<br />

deliberately presented <strong>all</strong> the technologies considered during the 4-year of this work, and failures in<br />

some processes are shown 1 and analyzed. However, the main conclusion is that a clear feasibility of<br />

an <strong>hybrid</strong> CMOS/SET technology has been demonstrated.<br />

In the future of <strong>hybrid</strong> CMOS/SET integration, we propose the following comments:<br />

• The lateral pattern definition (LPD) technique is interesting and deserves more<br />

investigation. Some nice realizations using LPD techniques have been proposed.<br />

• At the opposite, FIB direct milling may help <strong>for</strong> the prototyping of <strong>single</strong> devices (if the<br />

g<strong>all</strong>ium contamination problem is efficiently addressed), but is useless at large scale<br />

integration.<br />

• A much better photolithography system has to be use. It is a «dream» to believe that sub-<br />

10nm dimensions can be achieved in a controlled and reproducible way with a 0.8μmresolution<br />

optical system.<br />

• Silicon etching per<strong>for</strong>mances 2 have also to be drastic<strong>all</strong>y increased, compared to what<br />

was used in this work. Low speed anisotropic <strong>silicon</strong> etch, with low roughness sides, is<br />

absolutely mandatory.<br />

• New dielectric layers (currently limited to ~10nm SiO 2 as <strong>gate</strong> oxide) have to be<br />

introduced. High-k dielectrics seem also to be an appealing option.<br />

• The use of SOI wafers is not a so good idea. Many process difficulties are introduced and<br />

the increase in per<strong>for</strong>mances is still not clearly demonstrated.<br />

• The channel length of the <strong>nanowires</strong> has to be reduced. This will increase ON-current of<br />

MOS transistors and also helps to turn ON the low temperature <strong>single</strong> <strong>electron</strong> transistor<br />

mode. The numbers of oscillations has to be increased, as well as their regularity. The<br />

SET operating temperature is still very low. An <strong>hybrid</strong> technology supposes the use of<br />

MOS and SET transistors on the same chip and at the same temperature.<br />

• Enhanced carrier mobility measured by Raman spectroscopy shows a process-induced<br />

strain. Stress due to oxidation will be a major booster at nano-scale. In this thesis, we<br />

proposed initial measurement. Further studies are needed, like full 3D oxidation<br />

modelling.<br />

• There is still a lack of a realistic model of <strong>silicon</strong>-based <strong>single</strong> <strong>electron</strong> transistors.<br />

Without a compact model, the viability of any new technology is highly compromised.<br />

• Basic <strong>electron</strong>ic cells including <strong>hybrid</strong> integration of both MOS and SET devices have<br />

still been demonstrated. However, per<strong>for</strong>mances are limited and the functional<br />

temperature is low. As long as a reliable SET working at room temperature has not been<br />

realized, further <strong>hybrid</strong> circuit research seems useless. The ef<strong>for</strong>t has to be pushed on<br />

technology first.<br />

Final questions, that the author is not going to answer in this report, are on the future of <strong>single</strong><br />

<strong>electron</strong>ics 3 .<br />

Which SET geometry is the best? Which technology should be developed now? What is the price<br />

that the market is ready to pay <strong>for</strong> that? Is the PADOX a good solution? How can we re<strong>all</strong>y isolate<br />

and control the conduction through a 1nm quantum dot? What are the solutions proposed by a<br />

bottom-up approach? Should we either go back to non-<strong>silicon</strong> SET? etc.<br />

Many ef<strong>for</strong>ts have been pushed <strong>for</strong> about 20 years in the development of emerging devices <strong>for</strong><br />

CMOS replacement. Surprisingly, the CMOS itself, seems currently the nano-device to be the most<br />

promising! A very interesting and uncertain nano<strong>electron</strong>ic time will happen in the next years...<br />

1. I had rather be hissed <strong>for</strong> a good verse than applauded <strong>for</strong> a bad one. Victor Hugo, French Writer, 1802-1885.<br />

2. See: http://cmi.epfl.ch/<br />

True nano<strong>electron</strong>ic projects require extremely high technology standards, that un<strong>for</strong>tunately are rarely<br />

accessible in an academic cleanroom.<br />

3. ACTA EST FABULA. (The show is finished). Latest words of Augustus, Roman Emperor (63 BC - 14 AD).


Appendix A 141<br />

APPENDIX A: PBL AND SOI OXIDATION<br />

In this appendix, we describe in details the process and simulation used to loc<strong>all</strong>y or completely thin<br />

down SOI wafers. SOI thinning is essential to reduce the channel cross-section of <strong>silicon</strong> nanodevices.<br />

This was used, <strong>for</strong> example, in the sketch presented in Figure 3.19.<br />

The Poly<strong>silicon</strong>-Buffered LOCOS (PBL) was preliminary developed as a technique of isolation<br />

between devices. LOCOS stands <strong>for</strong> local oxidation of <strong>silicon</strong>. There are many examples in the<br />

literature of LOCOS, PBL and their variants. Authors have proposed optimizations <strong>for</strong> low stress and<br />

low impact on integrated devices 1 or <strong>for</strong> a sm<strong>all</strong> bird’s beak extension 2 . LOCOS, as a device<br />

isolation technique, is more and more replaced by STI (sh<strong>all</strong>ow trench isolation) 3 , which is better <strong>for</strong><br />

ultra high density integration.<br />

We have adapted the PBL technique, <strong>for</strong> the use in the Section “Focused ion beam prototyping” on<br />

page 90. We have integrated a poly<strong>silicon</strong> layer be<strong>for</strong>e <strong>silicon</strong> oxidation in order to decrease the<br />

oxidation-induced stress in the underneath SOI layer and to limit lateral bird’s beak extension. The<br />

one we choose is very simple, easily controllable and can be optimized by process simulation. We<br />

also choose to avoid the commonly used LPCVD nitride layer, because the access to an etchant bath<br />

to selectively remove nitride layer (phosphoric acid at T=160°C) is not available.<br />

The figures presented below show our PBL process.<br />

SOI 110nm<br />

Buried oxide: 400nm<br />

Handle wafer<br />

Figure A1: SOI wafer with 110nm of boron<br />

low-doped <strong>silicon</strong> and 400nm of BOX.<br />

Figure A3: Photolithography, anisotropic dry<br />

etch of poly<strong>silicon</strong> and resist removal.<br />

SiO 2 20nm + Poly 50nm<br />

Figure A2: Thermal growth of 20nm of oxide<br />

and 50nm LPCVD poly<strong>silicon</strong> deposition.<br />

500nm Bird’s beaks<br />

Figure A4: T=1050°C <strong>silicon</strong> oxidation under<br />

O 2 atmosphere after 60 minutes.<br />

1. C.-L. Huang, H. R. Soleimani, G. J. Grula, J. W. Sleight, A. Villani, H. Ali and D. A. Antoniadis, ’’LOCOS-Induced<br />

stress effects on thin-film SOI devices’’, IEEE Transactions on Electron Devices, vol. 44 (4), pp. 646-650, 1997.<br />

2. Se-Aug Jang, Chung-Soo Han, Young-Bog Kim and In-Seok Yeo, ’’The role of poly<strong>silicon</strong> film in the suppression of<br />

bird’s beak in Poly-Buffered LOCOS’’, IEEE Transactions on Electron Devices, vol. 46 (2), pp. 433-436, 1999.<br />

3. P. Van Der Voorn, D. Gan, and J. P. Krusius, ’’CMOS Sh<strong>all</strong>ow-Trench-Isolation to 50-nm channel widths’’, IEEE<br />

Transactions on Electron Devices, vol. 47 (6), pp. 1175-1182, 2000.


142 Appendix A<br />

Figure A5: T=1050°C <strong>silicon</strong> oxidation under<br />

O 2 atmosphere after 120 minutes. The<br />

poly<strong>silicon</strong> is fully oxidized.<br />

Figure A7: T=1050°C <strong>silicon</strong> oxidation under<br />

O 2 atmosphere after 240 minutes.<br />

Figure A6: T=1050°C <strong>silicon</strong> oxidation under<br />

O 2 atmosphere after 180 minutes.<br />

Figure A8: Oxide etch in a BHF bath. The<br />

central part of SOI is reduced to 30nm.<br />

Our process has also the advantage to limit the stress in the active SOI layer. In the bird’s beak zone,<br />

the strain is mainly concentrated in the poly<strong>silicon</strong> buffer layer. This avoids uncontrolled strain in<br />

<strong>silicon</strong>, which can lead to tens of percent of mobility lost, depending on <strong>silicon</strong> doping and<br />

cryst<strong>all</strong>ine orientation. The Figure 9 represents a stress simulation during the PBL process, with a<br />

maximum stress concentration in the poly<strong>silicon</strong> layer.<br />

110nm<br />

y<br />

Poly<strong>silicon</strong><br />

SOI<br />

BOX<br />

High stress<br />

region<br />

SiO 2<br />

Thin SOI<br />

Stress Sxy<br />

[GPa]<br />

Figure A9: Sxy stress simulation in a PBL structure, corresponding to step process of Figure 4. The<br />

minus sign means a compressive strain, while a positive strain is represented with a positive value.<br />

The finite elements mesh is also represented. A non-linear viscoelastic model 1 x<br />

of <strong>silicon</strong> oxidation<br />

was used, including a stress-dependent oxidation rate.<br />

1. DIOS Synopsys TCAD tool, release 10.0. See: http://www.synopsys.com/products/tcad/dios_ds.html<br />

70nm<br />

-1<br />

-0.5<br />

0<br />

0.2<br />

0.4<br />

0.6


Appendix A 143<br />

We made also different types of <strong>silicon</strong> oxidation. The plots presented in Figure 10 and Figure 11 are<br />

showing simulation results of wet and dry oxidation, respectively. These results have been used to<br />

thin down SOI wafers.<br />

The wet oxidation is characterized by a high oxidation rate, but suffers from a much lower precision<br />

in the oxide growth control. Wet oxidation is operated at high temperature (typic<strong>all</strong>y from 900°C to<br />

1050°C) under vapor atmosphere:<br />

Si + 2H2O → SiO2 + 2H2 Figure A10: Wet oxidation at T=1000°C <strong>for</strong> a boron low-doped (10 13 cm -3 ) SOI wafer. Oxidation gas<br />

flows in the oxidation tube are 16l/min <strong>for</strong> H 2 and 8.3 l/min <strong>for</strong> O 2. After t=150min, the SOI<br />

thickness is reduced from 343nm to 21nm and a SiO 2 layer of 712nm is grown.<br />

The dry oxidation has much slower oxidation growth but is much better controlled. The quality and<br />

density of the resulting oxide is excellent. This was used to thin down SOI wafers with a high<br />

precision. The dry oxidation chemical reaction is the following:<br />

Si + O2 → SiO2 Thickness [nm]<br />

Thickness [nm]<br />

1200<br />

1000<br />

800<br />

600<br />

400<br />

200<br />

600<br />

550<br />

500<br />

450<br />

400<br />

350<br />

SOI<br />

Initial SOI thickness: 343nm<br />

0<br />

0 20 40 60 80 100 120 140 160<br />

SOI<br />

Figure A11: Dry oxidation simulation at T=1050°C <strong>for</strong> a SOI boron low-doped (10 13 cm -3 ) wafer.<br />

The O 2 oxidation gas flow in the oxidation tube is 10l/min. After t=180min, the SOI thickness is<br />

reduced from 96nm to 7nm and a SiO 2 layer of 188nm is grown.<br />

The two simulations made are very accurate. The typical error between measurement and simulation<br />

is ranging from 2% to 4%. The thinner is the layer, and the larger is the error. The absolute thickness<br />

variability on the surface of the wafer is kept constant but the relative thickness variability increases<br />

with the sacrificial oxidation. We conclude that the quality of the wafer is essential, in terms of<br />

thickness variation, doping control and interfaces quality. We used a Deal-Grove oxidation model,<br />

corrected <strong>for</strong> thin oxide growth (See Section 3.2.2).<br />

SiO 2<br />

Buried oxide<br />

Oxidation time, t [min]<br />

Initial SOI thickness: 96nm<br />

Buried oxide<br />

SiO 2<br />

0 20 40 60 80 100 120 140 160 180 200<br />

Oxidation time, t [min]<br />

(1)<br />

(2)


Appendix B 145<br />

APPENDIX B: LIST OF PUBLICATIONS<br />

Contributions on <strong>single</strong> <strong>electron</strong>ics and <strong>silicon</strong> <strong>nanowires</strong><br />

[1] V. Pott, K. E. Moselund, D. Bouvet and A. M. Ionescu, ’’Gate-<strong>all</strong>-<strong>around</strong> and Ω-<strong>gate</strong><br />

MOSFET on bulk <strong>silicon</strong>’’, Poster presentation at the 7 th International Workshop on Future<br />

In<strong>for</strong>mation Processing Technologies, Dresden DE, 2007.<br />

[2] A. M. Ionescu, K. Boucart, K. E. Moselund, V. Pott and D. Tsamados, ’’Sm<strong>all</strong> slope micro/<br />

nano-<strong>electron</strong>ic switches’’, Invited talk at CAS conference, Sinaia RO, 2007.<br />

[3] K. E. Moselund, P. Dobrosz, S. Olsen, V. Pott, A. O’Neill, L. De Michielis, D. Tsamados, D.<br />

Bouvet, A. O’Neill and A. M. Ionescu, ’’Bended <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> nanowire MOSFET: a<br />

device with enhanced carrier mobility due to oxidation-induced tensile stress’’, Technical<br />

Digest of IEDM, Washington DC, 2007.<br />

[4] K. E. Moselund, V. Pott, D. Bouvet and A. M. Ionescu, ’’Abrupt current switching due to<br />

impact ionization effects in Ω-MOSFET on low doped bulk <strong>silicon</strong>’’, Proceedings of<br />

ESSDERC, pp. 287-290, Munich DE, 2007.<br />

[5] V. Pott, A. Heeren, K. E. Moselund, M. Fleischer, D. Kern and A. M. Ionescu, ’’Gate-<strong>all</strong><strong>around</strong><br />

<strong>silicon</strong> <strong>nanowires</strong> <strong>for</strong> <strong>hybrid</strong> CMOS / Single Electron Transistor Applications - in the<br />

framework of the SINANO European Network of Excellence’’, Poster presentation at the<br />

INC3 European Nano Day, Brussels BE, 2007.<br />

[6] K. E. Moselund, D. Bouvet, L. Tschuor, V. Pott, P. Dainesi, C. Eggimann, N. Le Thomas, R.<br />

Houdré and A. M. Ionescu, ’’Cointegration of Gate-All-Around MOSFETs and local <strong>silicon</strong>on-insulator<br />

optical waveguides on bulk <strong>silicon</strong>’’, IEEE Transactions on Nanotechnology, vol.<br />

6 (1), pp. 118-125, 2007.<br />

[7] V. Pott, D. Bouvet, K. E. Moselund and A. M. Ionescu, ’’Carrier mobility in ultra-scaled <strong>gate</strong><strong>all</strong>-<strong>around</strong><br />

<strong>silicon</strong> <strong>nanowires</strong>’’, International Conference on Nano Science and Nano<br />

Technology (GJ-NST), Gwangju KO, 2006.<br />

[8] K. E. Moselund, D. Bouvet, L. Tschuor, V. Pott, P. Dainesi and A. M. Ionescu, ’’Local volume<br />

inversion and corner effects in triangular <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> MOSFETs’’, Proceedings of<br />

ESSDERC, pp. 359-362, Montreux CH, 2006.<br />

[9] V. Pott, D. Bouvet, J. Boucart, L. Tschuor, K. E. Moselund and A. M. Ionescu, ’’Low<br />

temperature <strong>single</strong> <strong>electron</strong> characteristics in <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> MOSFETs’’, Proceedings of<br />

ESSDERC, pp. 427-430, Montreux CH, 2006.<br />

[10] V. Pott, J. Boucart, D. Bouvet, K. E. Moselund and A. M. Ionescu, ’’Coulomb blockade in<br />

<strong>gate</strong>-<strong>all</strong>-<strong>around</strong> <strong>silicon</strong> nanowire MOSFETs’’, Proceedings of IEEE <strong>silicon</strong> nano<strong>electron</strong>ics<br />

workshop, pp. 25-26, Honolulu HI, 2006.<br />

[11] K. E. Moselund, L. Tschuor, D. Bouvet, V. Pott, P. Dainesi, C. Eggimann, N. Le Thomas, R.<br />

Houdré and A. M. Ionescu, ’’Co-integration of <strong>gate</strong>-<strong>all</strong>-<strong>around</strong> MOSFETs and local <strong>silicon</strong>-on<br />

insulator optical waveguides on bulk <strong>silicon</strong> <strong>for</strong> GHz on-chip optical signaling’’, Proceedings<br />

of IEEE <strong>silicon</strong> nano<strong>electron</strong>ics workshop, pp. 31-32, Honolulu HI, 2006.<br />

[12] V. Pott and A. M. Ionescu, ’’Conduction in ultra-thin SOI <strong>nanowires</strong> prototyped by FIB<br />

milling’’, Micro<strong>electron</strong>ic Engineering, vol. 83, pp. 1718-1720, 2006.<br />

[13] V. Pott, D. Bouvet, K. E. Moselund and A. M. Ionescu, ’’Gate-<strong>all</strong>-<strong>around</strong> MOSFETs: true<br />

fabrication and characteristics’’, Invited talk at the SINANO workshop on <strong>silicon</strong> nanodevices,<br />

Aachen DE, 2006.<br />

[14] S. Ecoffey, V. Pott, S. Mahapatra, D. Bouvet and A. M. Ionescu, ’’Hybrid Nanowire-MOS<br />

circuit architectures: from basic physics to digital and analog applications’’, 6 th Nanophysics,<br />

Hanoi VN, 2006.


146 Appendix B<br />

[15] V. Pott, ’’La révolution nanoélectronique’’, Revue A 3 Tracé, In<strong>for</strong>matique Bio-inspirée, pp.<br />

13, 2006.<br />

[16] S. Ecoffey, M. Mazza, V. Pott, D. Bouvet, A. Schmid, Y. Leblebici, M. Declercq and A. M.<br />

Ionescu, ’’A new logic family based on <strong>hybrid</strong> MOSFET-poly<strong>silicon</strong> <strong>nanowires</strong>’’, Technical<br />

Digest of IEDM, pp. 269-272, Washington DC, 2005.<br />

[17] V. Pott and A. M. Ionescu, ’’Conduction in ultra-thin SOI <strong>nanowires</strong> prototyped by FIB<br />

milling’’, Conference on Micro and Nano Engineering (MNE), 3_p-05, Vienna AT, 2005.<br />

[18] S. Ecoffey, V. Pott, D. Bouvet, M. Mazza, S. Mahapatra, A. Schmid, Y. Leblebici, M.<br />

Declercq and A. M. Ionescu, ’’Nano-wires <strong>for</strong> room temperature operated <strong>hybrid</strong> CMOS-<br />

NANO integrated circuits’’, Digest of Technical Papers of ISSCC, pp. 260-262, 2005.<br />

[19] S. Ecoffey, V. Pott, D. Bouvet, Y. Leblebici, M. Declercq and A. M. Ionescu, ’’Fabrication of<br />

poly<strong>silicon</strong> <strong>gate</strong>d-<strong>nanowires</strong> and their application <strong>for</strong> pA precision current measurements’’,<br />

Digest of TRANSDUCERS, vol. 1, pp. 859-862, Seoul KO, 2005.<br />

[20] D. Grogg, C. Santschi, V. Pott, A. M. Ionescu and J. Brugger, ’’Nanostencil-based lithography<br />

<strong>for</strong> <strong>silicon</strong> <strong>nanowires</strong> fabrication’’, Proceedings of Nano<strong>electron</strong>ics Days, pp. 33-34, Jülich<br />

DE, 2005.<br />

[21] V. Pott, D. Grogg, J. Brugger and A. M. Ionescu, ’’Silicon <strong>nanowires</strong> patterning by sidew<strong>all</strong><br />

and nano-oxidation processing’’, Proceedings of Nano<strong>electron</strong>ics Days, pp. 19-20, Jülich DE,<br />

2005.<br />

[22] S. Ecoffey, S. Mahapatra, V. Pott, D. Bouvet, G. Reimbold and A. M. Ionescu, ’’Low<br />

temperature investigation of electrical conduction in poly<strong>silicon</strong>: simulation and experiment’’,<br />

European Nano Systems (ENS), Paris FR, 2005.<br />

[23] A. M. Ionescu, S. Mahapatra and V. Pott, ’’Hybrid SETMOS architecture with Coulomb<br />

blockade oscillations and high current drive’’, Electron Device Letters, vol. 25 (6), pp. 411-<br />

413, 2004.<br />

[24] A. M. Ionescu, V. Pott, S. Ecoffey, S. Mahapatra, K. Moselund, P. Dainesi, K. Buchheit and<br />

M. Mazza, ’’Emerging nano<strong>electron</strong>ics: multi-functional <strong>nanowires</strong>’’, Proceedings of CAS,<br />

vol. 1, pp. 3-8, Sinaia RO, 2004.<br />

[25] A. M. Ionescu, K. Buchheit, P. Dainesi, S. Ecoffey, S. Mahapatra and V. Pott, ’’Nanowires: a<br />

realistic approach <strong>for</strong> future <strong>hybrid</strong> nano<strong>electron</strong>ics?’’, 3th NID Workshop, Athens GR, 2004.<br />

[26] S. Ecoffey, V. Pott, S. Mahapatra, D. Bouvet, P. Fazan and A. M. Ionescu, ’’A <strong>hybrid</strong> CMOS-<br />

SET co-fabrication plat<strong>for</strong>m using nanograin poly<strong>silicon</strong> wires’’, Conference on Micro and<br />

Nano Engineering (MNE), pp. 148-149, Rotterdam NL, 2004.<br />

[27] S. Mahapatra, V. Pott and A. M. Ionescu, ’’Few <strong>electron</strong> Negative Differential Resistance<br />

(NDR) devices’’, Proceedings of CAS, vol 1, pp. 51-54, Sinaia RO, 2003.<br />

[28] S. Mahapatra, V. Pott and A. M. Ionescu, ’’SETMOS-a high current Coulomb blockade<br />

oscillation device’’, Proceedings of ESSDERC, pp. 183-186, Estoril PT, 2003.<br />

[29] S. Mahapatra, V. Pott, S. Ecoffey, A. Schmid, C. Wasshuber, J. W. Tringe, Y. Leblebici, M.<br />

Declercq, K. Banerjee and A. M. Ionescu, ’’SETMOS: a novel true <strong>hybrid</strong> SET-CMOS high<br />

current Coulomb blockade oscillation cell <strong>for</strong> future nano-scale analog ICs’’, Technical<br />

Digest of IEDM, pp. 29.7.1 - 29.7.4, Washington DC, 2003.


Appendix B 147<br />

Contributions on other scientific activities<br />

[30] M. Fernández-Bolaños, N. Abelé, V. Pott, D. Bouvet, G. A. Racine, J. M. Quiero and A. M.<br />

Ionescu, ’’Polyimide sacrificial layer <strong>for</strong> SOI SG-MOSFET pressure sensor’’,<br />

[31]<br />

Micro<strong>electron</strong>ic Engineering, vol. 83, pp. 1185-1188, 2006.<br />

N. Abelé, V. Pott, K. Boucart, F. Casset, K. Séguéni, P. Ancey and A. M. Ionescu,<br />

’’Comparison of RSG-MOSFET and capacitive MEMS resonator detection’’, Electronics<br />

Letters, vol. 41 (5), pp. 242-244, 2005.<br />

[32] N. Abelé, V. Pott, K. Boucart, F. Casset, K. Séguéni, P. Ancey and A.M. Ionescu, ’’Electro-<br />

Mechanical modeling of MEMS resonators with MOSFET detection’’, MSM Nanotech, vol.<br />

3, pp. 553-556, 2005.<br />

[33] M. Fernández-Bolaños, N. Abelé, D. Bouvet, V. Pott, G. Racine, J. Quero and A. M. Ionescu,<br />

’’Polyimide sacrificial layer process <strong>for</strong> SOI SG-MOSFET pressure sensor’’,Conference on<br />

Micro and Nano Engineering (MNE), 2A-02, Vienna AT, 2005.<br />

[34] R. Fritschi, N. Abelé, V. Pott, C. Hibert, P. Flückiger, P. Ancey and A. M. Ionescu, ’’RF<br />

MEMS switches <strong>for</strong> mobile communications: from metal-metal to suspended-<strong>gate</strong> MOS<br />

device architectures’’, European Microwave Week (EuMW), Paris la Défense FR, 2005.<br />

[35] P. A. Besse, G. Boero, M. Demierre, V. Pott and R. S. Popovic, ’’Detection of a <strong>single</strong><br />

magnetic microbead using a miniaturized <strong>silicon</strong> H<strong>all</strong> sensor’’, Applied Physics Letters, vol.<br />

80, pp. 4199, 2002.<br />

[36] A. M. Ionescu, V. Pott, R. Fritschi, K. Banerjee, M. Declercq, P. Renaud, C. Hibert, P.<br />

Fluckiger and G.-A. Racine, ’’Modeling and design of a low-voltage SOI suspended-<strong>gate</strong><br />

MOSFET (SG-MOSFET) with a metal-over-<strong>gate</strong> architecture’’, Proceedings of ISQED, pp.<br />

496-501, San Jose CA, 2002.<br />

[37] V. Pott, A. M. Ionescu, R. Fritschi, C. Hibert, P. Fluckiger, M. Declercq, P. Renaud, A. Rusu,<br />

D. Dobrescu and L. Dobrescu, ’’The suspended-<strong>gate</strong> MOSFET (SG-MOSFET): a modeling<br />

outlook <strong>for</strong> the design of RF MEMS switches and tunable capacitors’’, Proceedings of CAS,<br />

vol 1, pp. 137-140, Sinaia RO, 2001.<br />

Patents<br />

[38] A. M. Ionescu, P. Fluckiger, C. Hibert, R. Fritschi and V. Pott, ’’Process <strong>for</strong> manufacturing<br />

MEMS’’, Patent Application: US 20050227428 A1, 2005.<br />

[39] K. E. Moselund, A. M. Ionescu, V. Pott and M. Kayal, ’’New capacitor-less memory and<br />

abrupt switch based on hysteresis characteristics in punch-through impact ionization MOS<br />

transistor (PI-MOS)’’, US 60968651 Patent Pending, 2007.


EDUCATION<br />

APPENDIX C: CURRICULUM VITAE<br />

Vincent POTT<br />

Nanotechnology and Nano<strong>electron</strong>ics Engineer<br />

La Crettaz 29<br />

1967 Bramois<br />

SWITZERLAND<br />

E-mail:<br />

Born:<br />

Martial status:<br />

Ph.D. student. Thesis title: May 2002 - Jan. 2008<br />

Gate-<strong>all</strong>-<strong>around</strong> <strong>silicon</strong> <strong>nanowires</strong> <strong>for</strong> <strong>hybrid</strong> Single<br />

Electron Transistor / CMOS applications<br />

Advisor: Prof. Adrian M. Ionescu<br />

Ecole Polytechnique Fédérale de Lausanne (EPFL)<br />

Lausanne, Switzerland<br />

Master Degree (M.Sc.) in Micro-engineering Oct. 1997 - Apr. 2002<br />

Specialization in Micro<strong>electron</strong>ics and Microsystems<br />

Ecole Polytechnique Fédérale de Lausanne (EPFL)<br />

Lausanne, Switzerland<br />

SCIENTIFIC ACHIEVEMENT<br />

Vincent.Pott@a3.epfl.ch<br />

8 th July 1978<br />

Single<br />

Award: Omega Foundation Award <strong>for</strong> the best diploma in<br />

Micro<strong>electron</strong>ics (April 2002)<br />

Publications: Author and co-author of about 40 scientific publications in<br />

various Conferences (IEDM, ISSCC, ESSDERC, Transducers)<br />

and Journals (Applied Physics Letters, IEEE-TED).<br />

Patents: Co-author of 2 patents.<br />

Place of birth:<br />

Place of origin:<br />

Citizenship:<br />

Sion CH<br />

Mollens CH<br />

Switzerland<br />

Cleanroom: Fully independent work <strong>for</strong> more than 5 years in a Class 100<br />

cleanroom (http://cmi.epfl.ch).<br />

Physics: Quantum nano<strong>electron</strong>ic physics, modelling and technology.<br />

Teaching: Work with undergraduate students (basic <strong>electron</strong>ics, projects,<br />

cleanroom training).


INDUSTRIAL EXPERIENCES<br />

Assembly assistant in a watchmaking compagny 1997 - 1998<br />

ETA SA Fabrique d’Ebauches<br />

Sion, CH<br />

Micro-mechanical and construction training 1999<br />

Ecole Technique des Métiers (ETML)<br />

Lausanne, CH<br />

Cleanroom assistant, LIGA processing 2000<br />

Mimotec SA<br />

Sion, CH<br />

Watch quality control and statistics 2001<br />

Montres Patek-Philippe SA<br />

Genève, CH<br />

LANGUAGE SKILLS<br />

French : Mother tongue<br />

English : Very good in reading, writing and speaking<br />

German : Good understanding, basic of writing<br />

COMPUTER SKILLS<br />

Environment : Windows, Unix<br />

Office : MS-Office, Adobe FrameMaker, Dreamweaver (HTML)<br />

Professional : SolidWorks, Mathematica, L-Edit, Synopsys TCAD tools<br />

PERSONAL INTERESTS<br />

Travelling : Many travels in Europe and Asia<br />

Arts : Interests <strong>for</strong> general arts, culture and history<br />

Music : Classical, opera<br />

MEMBERSHIPS<br />

IEEE : (S’04, M’07) Institute of Electrical and Electronics<br />

Engineers.<br />

EDS : Member of the Electron Devices Society

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!